正在加载图片...
占空比不是1:1的偶数分频器 如:分频系数为6、占空比为1:5的偶数分频器 cnt clk div6 clkx-时钟 div6-6分频输出端 分频系数为6的分频器的电路符号 library ieee use ieee std logic_1164.all; use ieee std _logic_unsigned. all; entity cnto 1S port(clk:in std_ logic; div6: out std logic);-6分频输出 end cnto➢占空比不是1:1的偶数分频器 如:分频系数为6、占空比为1:5的偶数分频器 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity cnt6 is port(clk:in std_logic; div6:out std_logic); --6分频输出 end cnt6; 分频系数为6的分频器的电路符号 clk div6 clk –时钟 div6 – 6分频输出端 cnt6
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有