正在加载图片...
逻辑综合 8 商提供的运行环境,我们可以用report_lib libname命令。 set_operating_conditions <name of operating conditions> 例子: dc_shell>current_design=“addtwo” dc_shell>set_operating_conditions -max "typ_124_4.50" 最坏(即最慢)的运行条件是最低的电压和最高的温度。 最好(即最快)的运行条件是最高的电压和最低的温度。 对于设置the best case的运行条件是: set_operating_conditions -max WORSTC_OPCONDS -min BESTC_OPCONDS 如果你想检查你的设置,你可以用report_port-verbose,.write_script和 report_design命令。 set_1oad:定义了输出单元总的驱动能力。 set_load <value><object list> 例子: 直接指定一个值:dc_shell>set_load4find(port0UT1) 利用load_of(1ib/cell/pin)命令把技术库的门作为负载数的衡量: dc_shell>set_load load_of(CBA/AN2/A)find (port OUT1) load_of(1ib/cell/pin)可以乘以系数: dc_shell>set_load load_of(CBA/IVA/A)*3 find (port OUT1) set_driving._cell:模拟了驱动输入管脚的的驱动单元的驱动电阻。定义了信号到达 输入管脚的传输时间,可以直接指明驱动输入管脚的外部实际单元。 set_driving_cell -cell <cell name>-pin <pin name><object list> 例子: dc_shell>set_driving_cell -cell BUFF1 -pin Z all_inputs() set_drive:指明了输入管脚的驱动强度。模拟了输入管脚的外部驱动电阻。它一般只 用在clock管脚上。 set_drive <value><object list> 例子: dc_shell>set_drive 0 (CLK RST} set_wire_load:用来提供估计的统计线载(wire load))信息,反过来也用线载信息模 拟net延时。线载模型是基于net扇出的net参数估计。 set wire load <wire-load model>-mode <top enclosed segmented 例子: set_wire_load MEDIUM -mode top wire1oad模型是芯片制造商产生的,但是也可以你自己产生。自己产生的方法举例如 下: 设库名为Extra.lib: Library(“extraa”){ operating_.conditions(“SLOW”){ process 1.75: temperature 100; voltage 4.66; tree_type:“worst_.case_tree”;} 再执行以下命令: dc_shelll>read_lib extra.lib dc_shelll>write_lib extra -output extra.lib dc_shell>link_library ={*"tech_library extra.lib} dc_sehll)set_operating_.conditions“SLOW”-library“extra”逻辑综合 8 商提供的运行环境,我们可以用 report_lib libname 命令。 set_operating_conditions <name of operating conditions> 例子: dc_shell> current_design = “addtwo” dc_shell> set_operating_conditions -max “typ_124_4.50” 最坏(即最慢)的运行条件是最低的电压和最高的温度。 最好(即最快)的运行条件是最高的电压和最低的温度。 对于设置 the best case 的运行条件是: set_operating_conditions –max WORSTC_OPCONDS –min BESTC_OPCONDS 如果你想检查你的设置,你可以用 report_port -verbose,write_script 和 report_design 命令。 set_load: 定义了输出单元总的驱动能力。 set_load <value> <object list> 例子: 直接指定一个值: dc_shell> set_load 4 find (port OUT1) 利用 load_of(lib/cell/pin)命令把技术库的门作为负载数的衡量: dc_shell> set_load load_of(CBA/AN2/A) find (port OUT1) load_of(lib/cell/pin)可以乘以系数: dc_shell> set_load load_of(CBA/IVA/A) * 3 find (port OUT1) set_driving_cell: 模拟了驱动输入管脚的的驱动单元的驱动电阻。定义了信号到达 输入管脚的传输时间,可以直接指明驱动输入管脚的外部实际单元。 set_driving_cell -cell <cell name> -pin <pin name> <object list> 例子: dc_shell> set_driving_cell -cell BUFF1 -pin Z all_inputs() set_drive: 指明了输入管脚的驱动强度。模拟了输入管脚的外部驱动电阻。它一般只 用在 clock 管脚上。 set_drive <value> <object list> 例子: dc_shell> set_drive 0 {CLK RST} set_wire_load: 用来提供估计的统计线载(wire load)信息,反过来也用线载信息模 拟 net 延时。线载模型是基于 net 扇出的 net 参数估计。 set_wire_load <wire-load model> -mode <top | enclosed | segmented > 例子: set_wire_load MEDIUM –mode top wire load 模型是芯片制造商产生的,但是也可以你自己产生。自己产生的方法举例如 下: 设库名为 Extra.lib: Library (“ extraa ”) { operating_conditions (“ SLOW ”) { process : 1.75; temperature : 100; voltage :4.66; tree_type : “ worst_case_tree ”;} } 再执行以下命令: dc_shelll> read_lib extra.lib dc_shelll> write_lib extra –output extra.lib dc_shell> link_library = {“ *”,tech_library extra.lib} dc_sehll> set_operating_conditions “ SLOW ” –library “ extra
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有