正在加载图片...
逻辑综合 4 变量,后面的设置值将覆盖前面的设置。 根目录下的setup例子如下: company=“your_company'”; designer=“your_name”; view_blackground ="black"; 工作目录下的setup文件例子如下: dcsh模式: searsh_path {}search_path link_library {MTC45000.db} target_library (MTC45000.db}; symbol_library (MTC45000.sdb} define_design_lib work -path work Tcl模式: set search_path [concat [list]Ssearch_path] set link_library [list MTC45000.DB] set target_library [list MTC45000.db] set symbol_library [list MTC45000.sdb] define design lib work -path work 说明: search_path:为DC提供未分析设计标准的搜寻路径,亦即你的技术库的搜寻路径。 如果你的库不是放在DC的安装目录下的库的目录下,则你还需修改你的search+-path, 指定库的目录。方法是: dcsh模式: search_path {directory}search_path dctcl模式: set search_path [concat [list directory]$search_path] link library: 指明了你的设计所参照的子设计的位置。DC根据1 ink_library寻找它所参照的设 计。如果参考设计的完整名字在link_library里没有定义,则需在search_path中包括这 参考设计的路径。lik_library定义了被单独使用的元器件的库的名字。即, link_library里的元器件是不被DC所inferred的。 4.2.2库 target_library: 指明了在你优化设计时用到的元器件的库。 symbol library:指明了含技术库元件的图形描述的库。 4.2.3对象 在进行综合时,我们经常会遇到一些对象的概念。搞清楚这些概念具体是指代什么是 很有必要的。 Design:对应于执行一定逻辑功能的电路描述。design可以是独立的一个,也可以含 有其他的子设计。子设计虽然可以是设计的一部分,但是Synopsys也把它看成是一个设计。 Cell:是design中的子设计的一个instance。在Synopsys的术语中,cell和 instance被认为是一样的。 Reference:cell或instance参考的源设计的定义。 Port:指主要inputs,outputs或design的IO管脚。 Pin:对应于设计中的cell的input,output,或I0管脚。 Net:这是信号的名字,即通过连接ports与pins或pins与pins而把一个设计连在一 起的的金属线的名字。 Clock:作为时钟源的port或pin.. library:对应于设计的综合目标或参考连接的工艺指定单元的集合。 具体示例如图4.3:逻辑综合 4 变量,后面的设置值将覆盖前面的设置。 根目录下的 setup 例子如下: company = “ your_company”; designer = “your_name”; view_blackground = “black”; 工作目录下的 setup 文件例子如下: dcsh 模式: searsh_path = {} + search_path link_library = {MTC45000.db}; target_library = {MTC45000.db}; symbol_library = {MTC45000.sdb}; define_design_lib work -path work ; Tcl 模式: set search_path [concat [list] $search_path] set link_library [list MTC45000.DB] set target_library [list MTC45000.db] set symbol_library [list MTC45000.sdb] define_design_lib work -path work 说明: search_path:为 DC 提供未分析设计标准的搜寻路径,亦即你的技术库的搜寻路径。 如果你的库不是放在 DC 的安装目录下的库的目录下,则你还需修改你的 search+path, 指定库的目录。方法是: dcsh 模式: search_path = {directory} + search_path dctcl 模式: set search_path [concat [list directory] $search_path] link_library: 指明了你的设计所参照的子设计的位置。 DC 根据 link_library 寻找它所参照的设 计。如果参考设计的完整名字在 link_library 里没有定义,则需在 search_path 中包括这 参考设计的路径。 link_library 定义了被单独使用的元器件的库的名字。即, link_library 里的元器件是不被 DC 所 inferred 的。 4.2.2 库 target_library: 指明了在你优化设计时用到的元器件的库。 symbol library:指明了含技术库元件的图形描述的库。 4.2.3 对象 在进行综合时,我们经常会遇到一些对象的概念。搞清楚这些概念具体是指代什幺是 很有必要的。 Design: 对应于执行一定逻辑功能的电路描述。 design 可以是独立的一个,也可以含 有其他的子设计。子设计虽然可以是设计的一部分,但是 Synopsys 也把它看成是一个设计。 Cell: 是 design 中的子设计的一个 instance 。在 Synopsys 的术语中, cell 和 instance 被认为是一样的。 Reference: cell 或 instance 参考的源设计的定义。 Port: 指主要 inputs,outputs 或 design 的 IO 管脚。 Pin: 对应于设计中的 cell 的 input,output,或 IO 管脚。 Net: 这是信号的名字,即通过连接 ports 与 pins 或 pins 与 pins 而把一个设计连在一 起的的金属线的名字。 Clock: 作为时钟源的 port 或 pin.. library: 对应于设计的综合目标或参考连接的工艺指定单元的集合。 具体示例如图 4.3 :
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有