正在加载图片...
z: out std logic) architecture str of butnot is component kin port(a: in std logic, y: out std logic) component kanda port(a, b: in std logic; y: out std logic); end component ul: kin port map(y, temp); u2:kanda port map(x, temp, z); end str 注意:为了保障使用元件的端口名正确性,需专门设计反相 器kinv和2输入与门kand2的模块存放于work库中; 其他例题质数检测器 p284质数检测器的结构设计p.284表4-43 generate语句相同元件的重复使用 在同一结构体中使用多个相同元件时,为避免反复说明, 可以采用 generate语句 元件语句 编号:for指标in范围 generate 元件编号:元件名 port map(信号1,信号2,…); end generate 在以上语句中,指标为整数,不需要定义,各元件对应 的信号此时成为数组,其下标由指标范围决定 例2p.2858位总线反相器 generate语句的应用z: out std_logic); end butnot; architecture str of butnot is signal temp: std_logic; component kinv port (a: in std_logic; y: out std_logic); end component; component kand2 port (a,b: in std_logic; y: out std_logic); end component; begin u1: kinv port map(y,temp); u2: kand2 port map(x,temp,z); end str; 注意:为了保障使用元件的端口名正确性,需专门设计反相 器 kinv 和 2 输入与门 kand2 的模块,存放于 work 库中; 其他例题 质数检测器 p.284 质数检测器的结构设计 p.284 表 4-43 generate 语句 相同元件的重复使用 在同一结构体中使用多个相同元件时,为避免反复说明, 可以采用 generate 语句: 元件语句: 编号:for 指标 in 范围 generate 元件编号:元件名 port map(信号 1,信号 2,…); end generate 在以上语句中,指标为整数,不需要定义,各元件对应 的信号此时成为数组,其下标由指标范围决定; 例 2 p.285 8 位总线反相器 generate 语句的应用
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有