正在加载图片...
VHDL的程序结构 vHDL程序由模块构成,每个模块对应 于一个电路块 模块由三部分组成 库和包 library(设计资源) 实体 entity(外部端囗 构造体 architecture(内部结构)VHDL的程序结构 VHDL程序由模块构成,每个模块对应 于一个电路块; 模块由三部分组成: 库和包 library(设计资源) 实体 entity (外部端口) 构造体 architecture(内部结构)
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有