正在加载图片...
VHDL顺序语句 4、IF语句 格式1: IF条件THEN顺序处理语句; END IF; 格式2: IF条件THEN顺序处理语句; ELSE顺序处理语句; END IF 格式3: IF条件1THEN顺序处理语句; ELSIF条件2THEN顺序处理语句; ELSE顺序处理语句; END IF: 注意:IF语句隐含有优先级的关系,可用来设计具有优 先权的电路。VHDL顺序语句 4、IF语句 格式1: IF 条件 THEN 顺序处理语句; END IF; 格式2: IF 条件 THEN 顺序处理语句; ELSE 顺序处理语句; END IF; 格式3: IF 条件1 THEN 顺序处理语句; ELSIF 条件2 THEN 顺序处理语句; …… ELSE 顺序处理语句; END IF; 注意:IF 语句隐含有优先级的关系,可用来设计具有优 先权的电路
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有