正在加载图片...
实体( Entity ●描述此设计功能输入输出端口(Port) ●在层次化设计时,Pot为模块之间的接口 ●在芯片级,则代表具体芯片的管脚 Entity eqcomp4 is port(a, b: in std logic vector(3 downto 0) equal: out std logic end egcomp4 A[3.0 equa B[3.0]实体(Entity) ⚫ 描述此设计功能输入输出端口(Port) ⚫ 在层次化设计时,Port为模块之间的接口 ⚫ 在芯片级,则代表具体芯片的管脚 A[3..0] B[3..0] equal Entity eqcomp4 is port(a, b: in std_logic_vector(3 downto 0); equal:out std_logic ); end eqcomp4;
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有