正在加载图片...
第八章编合$ lathe) ●综合:将较高层次的抽象描述转换到较低级别抽象描述的一种方法,即将设计 的VHDL描述转化为底居电路表示。 步骤:分析VHDL程序、检测语法错误→将设计描述转化为数据结构 将设计行为描述转化为寄存器传输级(RTL描述 81综合概述 工艺库 RTL描述 综合器 门级网表 属性 约束条件 综合过程示意图 RTL: register transmission level寄存器传输级 811RTL级描述 是以规定设计中采用各种寄存器形式为主要特征,然后在寄存器之间插入一些 必要的组合逻辑电路第八章 综合(Synthesis) ⚫综合:将较高层次的抽象描述转换到较低级别抽象描述的一种方法,即将设计 的VHDL描述转化为底层电路表示。 8.1 综合概述 步骤:分析VHDL程序、检测语法错误→ 将设计描述转化为数据结构 → 将设计行为描述转化为寄存器传输级(RTL)描述 工艺库 RTL描述 属性 约束条件 综合器 门级网表 综合过程示意图 RTL:register transmission level 寄存器传输级 8.1.1 RTL级描述 是以规定设计中采用各种寄存器形式为主要特征,然后在寄存器之间插入一些 必要的组合逻辑电路
向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有