正在加载图片...
VHDL数据对象( Data Objects) 1、常数( Constant) 定义格式为: Constant常数名:数据类型:=表达式; Constant width: integer =7 Constant Vcc: REAL: =5.0 Constant D2: Std Logic Vector(width downto 0):=0000" 不能在程序中改变; 增强程序的可读性,便于修改程序; 常量的使用范围取决于其定义位置,可在 Library、 Entity、 Architecture、 Process中进行定义,其有效范围也相应限定。VHDL数据对象(Data Objects) Constant width: integer := 7; Constant Vcc: REAL:=5.0; Constant D2:Std_Logic_Vector(width Downto 0):= ”0000”; ◆ 不能在程序中改变; ◆ 增强程序的可读性,便于修改程序; ◆ 常量的使用范围取决于其定义位置,可在Library、Entity、 Architecture、Process中进行定义,其有效范围也相应限定。 1、常数(Constant) 定义格式为: Constant 常数名:数据类型 :=表达式;
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有