正在加载图片...
2器时 11.1EDA软件接口流程 VHDL文本编辑 VHDL VHDL文本编辑 仿真 综合 SYNPLIFY FPGAEXPRESS 1、行为仿真 FPGA COMPILERII LEONARDO 2、功能仿真 3、时序仿真 FPGA/CPLD 适配 逻辑综合器 结构综合器 FPGA/CPLD 器件和电路系统 FPGA/CPLD 时序与功能 编程下载 门级仿真 图11-1EDA工程接口流程康芯科技 VHDL文本编辑 综合 FPGA/CPLD 适配 FPGA/CPLD 编程下载 FPGA/CPLD 器件和电路系统 时序与功能 门级仿真 逻辑综合器 结构综合器 1、行为仿真 2、功能仿真 3、时序仿真 11.1 EDA软件接口流程 VHDL文本编辑 SYNPLIFY FPGAEXPRESS FPGA COMPILERII LEONARDO ……… VHDL 仿真 图11-1 EDA工程接口流程
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有