当前位置:高等教育资讯网  >  中国高校课件下载中心  >  大学文库  >  浏览文档

康芯科技:《EDA技术实用教程》配套教学资源(PPT课件讲稿,第二版)第11章 EDA工具软件接口

资源类别:文库,文档格式:PPT,文档页数:48,文件大小:903.5KB,团购合买
11.1EDA软件接口流程 11.2 Synplify与MAX+plusII的接口 11.3 ModelSim与MAX+plusII的接口
点击下载完整版文档(PPT)

EDA技术实用教程 第11章 EDA工具软件接口

第11章 EDA工具软件接口 EDA技术实用教程

2器时 11.1EDA软件接口流程 VHDL文本编辑 VHDL VHDL文本编辑 仿真 综合 SYNPLIFY FPGAEXPRESS 1、行为仿真 FPGA COMPILERII LEONARDO 2、功能仿真 3、时序仿真 FPGA/CPLD 适配 逻辑综合器 结构综合器 FPGA/CPLD 器件和电路系统 FPGA/CPLD 时序与功能 编程下载 门级仿真 图11-1EDA工程接口流程

康芯科技 VHDL文本编辑 综合 FPGA/CPLD 适配 FPGA/CPLD 编程下载 FPGA/CPLD 器件和电路系统 时序与功能 门级仿真 逻辑综合器 结构综合器 1、行为仿真 2、功能仿真 3、时序仿真 11.1 EDA软件接口流程 VHDL文本编辑 SYNPLIFY FPGAEXPRESS FPGA COMPILERII LEONARDO ……… VHDL 仿真 图11-1 EDA工程接口流程

2器时 11.1EDA软件接口流程 VHDL文本编辑 VHDL VHDL文本编辑 仿真 综合 SYNPLIFY FPGAEXPRESS 1、行为仿真 FPGA COMPILERII LEONARDO 2、功能仿真 3、时序仿真 FPGA/CPLD 适配 逻辑综合器 结构综合器 FPGA/CPLD 器件和电路系统 FPGA/CPLD 时序与功能 编程下载 门级仿真 图11-1EDA工程接口流程

康芯科技 VHDL文本编辑 综合 FPGA/CPLD 适配 FPGA/CPLD 编程下载 FPGA/CPLD 器件和电路系统 时序与功能 门级仿真 逻辑综合器 结构综合器 1、行为仿真 2、功能仿真 3、时序仿真 11.1 EDA软件接口流程 VHDL文本编辑 SYNPLIFY FPGAEXPRESS FPGA COMPILERII LEONARDO ……… VHDL 仿真 图11-1 EDA工程接口流程

康芯科技 12 ynplify与MAX+plu的接口 Symplify Pro o projects loaded> Eile Edit yaw Project Run HDL Analyst options lindow\k Holp P国Q品0c“=‖ 1.输入设计 g<no projects loaded) Open Project aUn Synplifx pro° Close Project <click to open proi ct Add File Type Impl Options 工具栏菜单 状态栏 Frequency 按钮面板 C Auto constra 图11-2 厂 FSH Compi1ax 厂 FSN Exp1oxer 厂 Resource Sharing 标签c命令窗 项目浏览窗口 Synplify pro 厂 Retim 启动后界面 <no proj Log Parameter Product Type: synplif License: synplif ypro de-locked DDINTCL Se人Em入mg人N

康芯科技 11.2 Synplify与MAX+plusII的接口 图11-2 Synplify Pro 启动后界面 1. 输入设计

康芯科技 12 ynplify与MAX+plu的接口 e冒 File t 1.输入设计 Text File K Tcg Tcl Script w晌 a wHDL Fi1e Cancel La verilog File i Constraint File (Scope) Hel EB Project File (Project. File Name count 图11-3 Synplify File Locati on 新建项目对话框 e: \edapro\synp62\synplify\tmp Path: e: \edaprolsymp62\symplifylytmp \count prj

康芯科技 11.2 Synplify与MAX+plusII的接口 图11-3 Synplify 新建项目对话框 1. 输入设计

2器时 112 Synplify与MAX+pus的接口 2.选择合适的目标器件 3.综合前控制设置 4.综合 5.结果检测

康芯科技 3. 综合前控制设置 4. 综合 5. 结果检测 2. 选择合适的目标器件 11.2 Synplify与MAX+plusII的接口

2器时 【例11-1】 library ieee use ieee std logic 1164.alli use ieee std logic unsigned alli entity cnt4 is port (d: in std logic vector (3 downto 0)i ld, ce, clk, rst in std logici g: out std logic vector (3 downto o))i end cnt4 archi tecture behave of cnt4 is signal count std logic vector (3 downto o)i begin process (clk, rst begin if rst=l then count 0)i elsif rising edge(clk)then if ld =1 then count < elsif ce 'l then count < count l end ifi end if; end processi g < counti end behave

康芯科技 【例11-1】 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity cnt4 is port (d : in std_logic_vector (3 downto 0); ld, ce, clk, rst : in std_logic; q : out std_logic_vector (3 downto 0)); end cnt4; architecture behave of cnt4 is signal count : std_logic_vector (3 downto 0); begin process (clk, rst) begin if rst = '1' then count '0'); elsif rising_edge(clk) then if ld = '1' then count <= d; elsif ce = '1' then count <= count + 1; end if; end if; end process; q <= count; end behave;

2器时 112 Synplify与MAX十pus的接口 4 Sheet 1 of 1- top level (of module ent4)(RTL Vier)Inpl-rev_ +o Instances (3) +o Nets (8) 10-B0D(3. 0 Q(3: 0) Had 80 Q(3:0 un1q4:1] [d[3: 0 count 4[3:0 q[30] 图114 Synplify的RTL级原理图

康芯科技 图11-4 Synplify的RTL 级原理图 11.2 Synplify与MAX+plusII的接口

2器时 12 ynplify与MAX+plu的接口 Sheet 1 of 1- top level (of module cnt4) (Technology Vier) AT000: EPI7032LC44-7 Impl-rey 1 +o Instances (18) rst +o Ports(6) +o Nets (20) SDFFE d[3:0]- CLK q no d ENA qlO 图115 Synplify的综合后门级电路图

康芯科技 11.2 Synplify与MAX+plusII的接口 图11-5 Synplify的综合后门级电路图

2器时 112 Synplify与MAX+plu的接口 6.设定EDF文件为工程 EDIF netlist Reader Settings Vendor:Synplicity 厂 Show LMF Mapping Messages 7.选定EDF文件来源 Customize > OK Cancel 8.选定目标器件 Signal Names VCC: CC GND: GND 9.编译适配 Library Mapping Files F LMF #1C e: \edapro\maxplus 2\lmf\ synplctyImf T LMF #2C e: \edapro'ksynp62 \synplify (lib \synplcty Imf Directory is: e: \edapro\synp 62\synplifywytmp \rev_3 Directories 图116 Synplify的 综合后门级电路图 Drives

康芯科技 11.2 Synplify与MAX+plusII的接口 6. 设定EDF文件为工程 7. 选定EDF文件来源 图11-6 Synplify的 综合后门级电路图 8. 选定目标器件 9. 编译适配

点击下载完整版文档(PPT)VIP每日下载上限内不扣除下载券和下载次数;
按次数下载不扣除下载券;
24小时内重复下载只扣除一次;
顺序:VIP每日次数-->可用次数-->下载券;
共48页,可试读16页,点击继续阅读 ↓↓
相关文档

关于我们|帮助中心|下载说明|相关软件|意见反馈|联系我们

Copyright © 2008-现在 cucdc.com 高等教育资讯网 版权所有