正在加载图片...
esTc 设计中 频率计主要模块 The following blocks are used in the design 一HEX2 LED -LED七段码显示转换模块(HDE) CNT BCD-4位十进制BCD计数器模块(BDE) (包含AND2和CNT4b俩子模块) CONTROL-频率计控制模块(FSM) Top frqm-顶层设计(BDE)设计中心 频率计主要模块 • The following blocks are used in the design: – HEX2LED - LED七段码显示转换模块(HDE) – CNT_BCD - 4位十进制BCD计数器模块(BDE) (包含 AND2和 CNT_4b俩子模块) – CONTROL - 频率计控制模块(FSM) – Top_frqm - 顶层设计(BDE)
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有