正在加载图片...
TEXTIO Signal clk: bity Signal din bit-vector(7 down to OB Variable li liney File infflestext is in“ datain” Readline(infile,B Read(ck)读入了1位 Read(ldin):←一读入了8位 100001010 01101100 110110011 由行变量读入数据变量的数据由数 据变量的属性决定 c din•TEXTIO Signal clk : bit; Signal din : bit_vector (7 down to 0); Variable li : line; File infile:text is in “data.in”; Readline (infile,li); Read (li,clk); Read (li,din); 1 0 0 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 1 1 0 1 1 0 0 1 1 . . . clk din 由行变量读入数据变量的数据由数 据变量的属性决定 读入了1位 读入了8位
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有