当前位置:高等教育资讯网  >  中国高校课件下载中心  >  大学文库  >  浏览文档

电子科技大学:《电子设计自动化技术》课程教学资源(讲义课件)TEXTIO

资源类别:文库,文档格式:PDF,文档页数:36,文件大小:2.34MB,团购合买
TEXTIO是文本输入输出包集合,该 包中含有对文本文件进行读写的过 程和函数。 输入输出的文本文件均为ASCII码 文件。 TEXTIO按行对文件处理,以回车、 换行符作为行结束。
点击下载完整版文档(PDF)

本节主要内容 TEXTIO ROMRAM

本节主要内容 ƒ TEXTIO ƒ ROM&RAM

TEXTIO TEXTIO是文本输入输出包集合,该 包中含有对文本文件进行读写的过 程和函数。 输入输出的文本文件均为Asc码 文件。 TEXTIO按行对文件处理,以回车、 换行符作为行结束

•TEXTIO ƒ TEXTIO是文本输入输出包集合,该 包中含有对文本文件进行读写的过 程和函数。 ƒ 输入输出的文本文件均为ASCII码 文件。 ƒ TEXTIO按行对文件处理,以回车、 换行符作为行结束

TEXTIO 1)文件说明 2)行变量说明 3)从文件中读一行 4)从一行中读一个数据 5)写一行到输出文件 6)写一个数据到行 7)文件结束检查 8) TEXTIO包集合的声明

•TEXTIO 1) 文件说明 2) 行变量说明 3) 从文件中读一行 4) 从一行中读一个数据 5) 写一行到输出文件 6) 写一个数据到行 7) 文件结束检查 8) TEXTIO包集合的声明

TEXTIO 1)文件说明 FLE文件变量名: TEXT IS方向“文件名”; 中间媒介 IN/OUT 2)行变量说明 VARIABLE行变量名:LNB

•TEXTIO 1)文件说明 FILE 文件变量名 :TEXT IS 方向“文件名”; IN/OUT 2)行变量说明 VARIABLE 行变量名:LINE; 中间媒介

TEXTIO 3)从文件中读一行 READLINE(文件变量,行变量); )从一行中读一个数据 READ(行变量,数据变量)

•TEXTIO 3)从文件中读一行 READLINE(文件变量,行变量); 4)从一行中读一个数据 READ(行变量,数据变量);

TEXTIO Signal clk: bity Signal din bit-vector(7 down to OB Variable li liney File infflestext is in“ datain” Readline(infile,B Read(ck)读入了1位 Read(ldin):←一读入了8位 100001010 01101100 110110011 由行变量读入数据变量的数据由数 据变量的属性决定 c din

•TEXTIO Signal clk : bit; Signal din : bit_vector (7 down to 0); Variable li : line; File infile:text is in “data.in”; Readline (infile,li); Read (li,clk); Read (li,din); 1 0 0 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 1 1 0 1 1 0 0 1 1 . . . clk din 由行变量读入数据变量的数据由数 据变量的属性决定 读入了1位 读入了8位

TEXTIO 5)写一行到输出文件 RITELINE(文件变量,行变量); 6)写一个数据至行 vRE(行变量,数据变量,起始位置,字符数) LEFT/RIGHT

•TEXTIO 5)写一行到输出文件 WRITELINE(文件变量,行变量); 6)写一个数据至行 WRITE(行变量,数据变量,起始位置,字符数); LEFT/RIGHT

TEXTIO-write LIBRARY ieee; use ieee std_logic_1164.allp use ieee std_logic_textio.all; library std; use std textio.all entity textiot is port(din in std_logic_vector( 3 downto O)F r,C,h, elkin std_logic) end textioth

•TEXTIO--write LIBRARY ieee; use ieee.std_logic_1164.all; use ieee.std_logic_textio.all; library std; use std.textio.all; entity textiot is port(din : in std_logic_vector(3 downto 0); r,c,h,clk:in std_logic); end textiot;

architecture beh of textiot is file outhtext is out filleout begin process(clk) variable lInNEy variable iNteger; begin if(clk'event and clke"then write(lin, h, left, 1)E write(in, G, left, 1)B write(in,lefty 1B write(in, din, right, 4; writeline(outf, lin; end if; end process; end behs

architecture beh of textiot is file outf:text is out "fileout"; begin process(clk) variable lin:line; variable k:integer; begin if(clk'event and clk='1')then write(lin,h,left,1); write(lin,c,left,1); write(lin,r,left,1); write(lin,din,right,4); writeline(outf,lin); end if; end process; end beh;

TEXTIO-write ame ue 10,12·+·3,1:0,1,,15310 210,+,0,1,的,1 Dd in b din(3) D din(2) b din(1) :0 D- c Dh …i0…i D clk

•TEXTIO--write

点击下载完整版文档(PDF)VIP每日下载上限内不扣除下载券和下载次数;
按次数下载不扣除下载券;
24小时内重复下载只扣除一次;
顺序:VIP每日次数-->可用次数-->下载券;
共36页,可试读12页,点击继续阅读 ↓↓
相关文档

关于我们|帮助中心|下载说明|相关软件|意见反馈|联系我们

Copyright © 2008-现在 cucdc.com 高等教育资讯网 版权所有