正在加载图片...
Example of LPM RAM DQ 國vhd3vhd- TextEditor LIBRARY ieee USE ieeestd logic_1164.ALL, LIBRARY Ipm; USE Ipmlpm components. ALL ENT und T PORTO data: IN STD LOGIC UECTOR (7 DOWNTO 9) address: IN STD LOGIC UECTOR (7 DOWNTO g) we, inclock, outclock: IN STD LOGIC END l 9: OUT STD_LOGIC_UECTOR (7 DOWNTO 0)); d13 ARCHITECTURE example OF uhd13 Is BEGIN imst 1: lpm ram dq GENERIC MAP (lpm widthad =>8 lpm width =>8) Port MAP (data => data, address = address, we =>we inclock - inclock, outclock ->outclock, q=>q); ENDe∷ bBRA Copyright 1997 Altera Corporation 2/22/2021Copyright © 1997 Altera Corporation 2/22/2021 Example of LPM_RAM_DQ
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有