正在加载图片...
VHDL与 Verilog HDL的对比 3.数据对象和数据类型 Verilog HDLI的数据对象有常量和变量; 其中变量分为连线型(wre)和寄存器型 (reg)wre型类似于信号,而reg型类似于 变量,只能在子程序块中赋值 数据变量默认为wre型。3.数据对象和数据类型 Verilog HDL的数据对象有常量和变量; 其中变量分为连线型(wire)和寄存器型 (reg),wire型类似于信号,而reg型类似于 变量,只能在子程序块中赋值; 数据变量默认为wire型。 VHDL 与 Verilog HDL 的对比
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有