正在加载图片...
VHDL与 Verilog HDL的对比 3.数据对象和数据类型 VHDL的数据对象有常量、信号和变量,分 别表达不同的硬件对应概念 每种对象都可以设置为不同的数据类型,可 以明确表达眢种具体或抽象的数据 数据使用时必须进行类型说明,运算时必须 考虑类型的一致性。3.数据对象和数据类型 VHDL的数据对象有常量、信号和变量,分 别表达不同的硬件对应概念; 每种对象都可以设置为不同的数据类型,可 以明确表达各种具体或抽象的数据; 数据使用时必须进行类型说明,运算时必须 考虑类型的一致性。 VHDL 与 Verilog HDL 的对比
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有