正在加载图片...
VHDL与 Verilog HDL的对比 2.外部端口描述点评 VHDL采用实体专门描述,需要为每个信号 指定传输模式和数据类型,可以输入输出抽 象的数据; Verilog HDL釆用简单语句描述,只指出端 口的基本模式和数据宽度,只能输入输出较 具体的数据。2.外部端口描述 点评 VHDL采用实体专门描述,需要为每个信号 指定传输模式和数据类型,可以输入输出抽 象的数据; Verilog HDL采用简单语句描述,只指出端 口的基本模式和数据宽度,只能输入输出较 具体的数据。 VHDL 与 Verilog HDL 的对比
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有