正在加载图片...
图第6章仍D4设计应用实例 PORT MAP(CIN→>CIN,A→>A(3 DOWNTO0),B=>B(3 DOWNTOO) S=>S(3 DOWNTO O), COUT>CARRY OUT); U2: ADDER4B-例化(安装)个4位二进制加法器U2 PORT MAP(CIN=>CARRY OUT, A=>A(7 DOWNTO 4) B=>B(7 DOWNTO 4) S=>S(7 DOWNTO 4); CONT=>CONT) END ART第6章 VHDL设计应用实例 PORT MAP(CIN=>CIN,A=>A(3 DOWNTO 0),B=>B(3 DOWNTO0), S=>S(3 DOWNTO 0),COUT=>CARRY_OUT); U2:ADDER4B --例化(安装)一个4位二进制加法器U2 PORT MAP(CIN=>CARRY_OUT,A=>A(7 DOWNTO 4), B=>B(7 DOWNTO 4), S=>S (7 DOWNTO 4);CONT=>CONT); END ART;
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有