正在加载图片...
图第6章仍D4设计应用实例 COMPONENET ADDER4B -对要调用的元件 ADDER4B的界面端口进行定义 PORT(CIN: IN STD LOGIC; A: IN STD LOGIC VECTOR( DOWNTO O); B: IN STD LOGIC VECTOR(3 DOWNTO 0) S: OUT STD LOGIC VECTOR(3 DOWNTO O); CONT: OUT STD LOGIC) END COMPONENT SIGNAL CARRY OUT: STD LOGIO;-4位加法器的进位标志 BEGIN U1: ADDer4B-1例化(安装)个4位二进制加法器U1l第6章 VHDL设计应用实例 COMPONENET ADDER4B --对要调用的元件ADDER4B的界面端口进行定义 PORT(CIN:IN STD_LOGIC; A:IN STD_LOGIC_VECTOR(3 DOWNTO 0); B:IN STD_LOGIC_VECTOR(3 DOWNTO 0); S:OUT STD_LOGIC_VECTOR(3 DOWNTO 0); CONT:OUT STD_LOGIC); END COMPONENT ; SIGNAL CARRY_OUT:STD_LOGIC; --4位加法器的进位标志 BEGIN U1:ADDER4B --例化(安装)一个4位二进制加法器U1
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有