正在加载图片...
VHDL中的结构设计的实例 begin ul: kin port map(y, temp); u2: kand2 port map(x, temp, z); end str: kand kiny u1 u2VHDL中的结构设计的实例 begin u1: kinv port map(y,temp); u2: kand2 port map(x,temp,z); end str;
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有