正在加载图片...
§10-1VHDL的基本组成 VHDL可以把任意复杂的电路系统视作一个模 块,一个模块可主要分为三个组成部分: 参数部分—程序包 每个模块中的程序包有IEE标准的标准程序 包或设计者自身设计的程序包,而且调用的数量 不限。模块中的程序包是设计中的子程序和公用 数据类型的集合,是构成设计工具的工具箱,工 具箱中最基本的工具是数据类型包,调用此标准 程序包的ⅤHDL语言是:§10-1 VHDL的基本组成 VHDL可以把任意复杂的电路系统视作一个模 块,一个模块可主要分为三个组成部分: 每个模块中的程序包有IEEE标准的标准程序 包或设计者自身设计的程序包,而且调用的数量 不限。模块中的程序包是设计中的子程序和公用 数据类型的集合,是构成设计工具的工具箱,工 具箱中最基本的工具是数据类型包,调用此标准 程序包的VHDL语言是: 一、参数部分——程序包
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有