正在加载图片...
例 LIBRARY ieee USE ieeestd logic 1164.all: 调用程序包 语句 这两句设置在ⅤHDL 程序的前面,表示以后 在实体或结构体中要用 到数据类型包中的数据 标准程序包 定义程序包 类型。例 1 : LIBRARY ieee; USE ieee.std_logic_1164.all; 调用程序包 语句 标 准 程 序 包 定 义 程 序 包 这两句设置在VHDL 程序的前面,表示以后 在实体或结构体中要用 到数据类型包中的数据 类型
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有