当前位置:高等教育资讯网  >  中国高校课件下载中心  >  大学文库  >  浏览文档

北京交通大学:《数字逻辑电路》课程教学资源(PPT课件讲稿)第十章 超高速集成电路硬件描述语言VHDL

资源类别:文库,文档格式:PPT,文档页数:48,文件大小:173KB,团购合买
8-1VHDL语言的基本组成 8-2VHDL数据类型和属性 8-3VHL的行为描述 8-4Vd的结构描述
点击下载完整版文档(PPT)

第10章超高速集成电路硬件 描述语言VHDL §8-1VHDL语言的基本组成 §8-2VHDL数据类型和属性 §8-3VHDL的行为描述 §8-4ⅤHDL的结构描述 小结

第10章 超高速集成电路硬件 描述语言VHDL §8-1 VHDL语言的基本组成 §8-2 VHDL数据类型和属性 §8-3 VHDL的行为描述 §8-4 VHDL的结构描述 小结

传统的电路系统设计方法的步骤从状态图的简化, 写出最简逻辑表达式,到绘出电路原理图。这在不是 较大的电路系统中,工程师可以用一定的时间,了解 电路的原理。若电路系统非常庞大,工程师就不容易 在电路原理图上了解电路的原理,而且对绘图者也是 项非常烦琐的工作。因此众多软件公司开发研制了 具有自己特色的电路硬件描述语言( Hardware Description Language,HDL),这些硬件描述语言必 然有很大的差异,工程师一旦选用某种硬件描述语言 作为输入工具,就被束缚在这个硬件设计环境之中, 不能在众多的软件工具中选择一个最佳组合作为自己 的最优设计环境。因此,硬件设计工程师需要一种强 大的、标准化的硬件描述语言,作为可相互交流的设 计环境

• 传统的电路系统设计方法的步骤从状态图的简化, 写出最简逻辑表达式,到绘出电路原理图。这在不是 较大的电路系统中,工程师可以用一定的时间,了解 电路的原理。若电路系统非常庞大,工程师就不容易 在电路原理图上了解电路的原理,而且对绘图者也是 一项非常烦琐的工作。因此众多软件公司开发研制了 具有自己特色的电路硬件描述语言 ( Hardware Description Language,HDL),这些硬件描述语言必 然有很大的差异,工程师一旦选用某种硬件描述语言 作为输入工具,就被束缚在这个硬件设计环境之中, 不能在众多的软件工具中选择一个最佳组合作为自己 的最优设计环境。因此,硬件设计工程师需要一种强 大的、标准化的硬件描述语言,作为可相互交流的设 计环境

美国国防部在20世纪80年代初提出了ⅤHSIC ( Very High Speed Integrated Circuit)计划,其 目标之一是为下一代集成电路的生产,实现阶段 性的工艺极限以及完成10万门级以上的设计,建 立一项新的描述方法。1981年提出了一种新的 HDL,称之为Ⅴ HSIC Hardware Description Language,简称为ⅴHDL,这种语言的成就有两 个方面: 1.描述复杂的电路系统 2VHDL成为硬件描述语言的标准

美国国防部在20世纪80年代初提出了VHSIC (Very High Speed Integrated Circuit)计划,其 目标之一是为下一代集成电路的生产,实现阶段 性的工艺极限以及完成10万门级以上的设计,建 立一项新的描述方法。1981年提出了一种新的 HDL , 称之为 VHSIC Hardware Description Language,简称为VHDL,这种语言的成就有两 个方面: 1. 描述复杂的电路系统; 2. VHDL成为硬件描述语言的标准

ⅤHDL的主要优点是: 1覆盖面广,描述能力强,是一个多层次的硬件描述语言。 即设计的原始描述可以是非常简练的描述,经过层层细化求 精,最终成为可直接付诸生产的电路级或版图参数描述,整 个过程都可以在ⅤHDL的环境下进行 2.VHDL有良好的可读性,即可以被计算机接受,也容 易被理解。用ⅤHDL书写的原文件,即是程序,又是文档, 即是技术人员之间交换信息的文件,又可作为合同签约者 之间的文件。 3VHDL本身的生命期长,因为ⅤHDL的硬件描述与工 艺技术无关,不会因工艺变化而使描述过时。与工艺技术 有关的参数可通过ⅴHDL提供的属性加以描述,工艺改变 时,只需修改相应程序中的属性参数即可

VHDL的主要优点是: 1.覆盖面广,描述能力强,是一个多层次的硬件描述语言。 即设计的原始描述可以是非常简练的描述,经过层层细化求 精,最终成为可直接付诸生产的电路级或版图参数描述,整 个过程都可以在VHDL的环境下进行。 2.VHDL有良好的可读性,即可以被计算机接受,也容 易被理解。用VHDL书写的原文件,即是程序,又是文档, 即是技术人员之间交换信息的文件,又可作为合同签约者 之间的文件。 3.VHDL本身的生命期长,因为VHDL的硬件描述与工 艺技术无关,不会因工艺变化而使描述过时。与工艺技术 有关的参数可通过VHDL提供的属性加以描述,工艺改变 时,只需修改相应程序中的属性参数即可

VHDL的主要优点是: 4支持大规模设计的分解和已有设计的 再利用,一个大规模设计不可能一个人独 立完成,它将由多人,多项目组来共同完 成。ⅤHDL为设计的分解和设计的再利用 提供了有力的支持 5VHDL已成为IEEE承认的一个工业标 准,事实上已成为通用硬件描述语言

4.支持大规模设计的分解和已有设计的 再利用,一个大规模设计不可能一个人独 立完成,它将由多人,多项目组来共同完 成。VHDL为设计的分解和设计的再利用 提供了有力的支持。 VHDL的主要优点是: 5.VHDL已成为IEEE承认的一个工业标 准,事实上已成为通用硬件描述语言

§10-1VHDL的基本组成 VHDL可以把任意复杂的电路系统视作一个模 块,一个模块可主要分为三个组成部分: 参数部分—程序包 每个模块中的程序包有IEE标准的标准程序 包或设计者自身设计的程序包,而且调用的数量 不限。模块中的程序包是设计中的子程序和公用 数据类型的集合,是构成设计工具的工具箱,工 具箱中最基本的工具是数据类型包,调用此标准 程序包的ⅤHDL语言是:

§10-1 VHDL的基本组成 VHDL可以把任意复杂的电路系统视作一个模 块,一个模块可主要分为三个组成部分: 每个模块中的程序包有IEEE标准的标准程序 包或设计者自身设计的程序包,而且调用的数量 不限。模块中的程序包是设计中的子程序和公用 数据类型的集合,是构成设计工具的工具箱,工 具箱中最基本的工具是数据类型包,调用此标准 程序包的VHDL语言是: 一、参数部分——程序包

例 LIBRARY ieee USE ieeestd logic 1164.all: 调用程序包 语句 这两句设置在ⅤHDL 程序的前面,表示以后 在实体或结构体中要用 到数据类型包中的数据 标准程序包 定义程序包 类型

例 1 : LIBRARY ieee; USE ieee.std_logic_1164.all; 调用程序包 语句 标 准 程 序 包 定 义 程 序 包 这两句设置在VHDL 程序的前面,表示以后 在实体或结构体中要用 到数据类型包中的数据 类型

接口部分一设计实体:「调用程序包 语句 模块中仅有一个设计实体, 它提供该设计模块的公共信息, 是ⅤHDL设计电路的最基本部实体 分。ⅤHDL设计的电路系统是实 可以分层次的,所以设计的模明语程 块系统实体即可以是顶层实体, 序 定义程序包 包 又可以是最底层实体。 例2 ENTITY kXor s a kxor PORT(al, bl: IN std_logic; bl END MOr. cl: OUT std logic);

模块中仅有一个设计实体, 它提供该设计模块的公共信息, 是VHDL设计电路的最基本部 分。VHDL设计的电路系统是 可以分层次的,所以设计的模 块系统实体即可以是顶层实体, 又可以是最底层实体。 二、接口部分—设计实体: 调用程序包 语句 标 准 程 序 包 定 义 程 序 包 实体 及实 体声 明语 句 ENTITY kxor IS kxor PORT(a1,b1:IN std_logic; a1 b1 c1:OUT std_logic); c1 END kxor; 例 2

实体部分的大写单词 ENTITY、IS、PORT IN、OUT和END为关键字。在 ENTITYEND之 间表示实体内容, ENTITY后的字符串kXor表示 实体的名称,即电路的符号名。端口(引脚)信 息关键字PORT中的语句有三个端口,描述了信 号的流向,分别是两个输入(ⅣN)模式a1和b1, 个是输出(OUT)模式cl,端口信息除了输入 输出之外,还可以是双向、缓冲器等。 std logic 表示信号取值的类型为标准逻辑位,除了标准逻 辑位之外,还可以是实数、整数、无符号数、物 理以及以上数据类型组成的记录和数组集合,信 号类型也可以是设计者定义

实体部分的大写单词ENTITY、IS、PORT、 IN、OUT和END为关键字。在ENTITY...END之 间表示实体内容,ENTITY后的字符串kxor表示 实体的名称,即电路的符号名。端口(引脚)信 息关键字PORT中的语句有三个端口,描述了信 号的流向,分别是两个输入(IN)模式a1和b1, 一个是输出(OUT)模式c1,端口信息除了输入 输出之外,还可以是双向、缓冲器等。std_logic 表示信号取值的类型为标准逻辑位,除了标准逻 辑位之外,还可以是实数、整数、无符号数、物 理以及以上数据类型组成的记录和数组集合,信 号类型也可以是设计者定义

描述部分一结构体: 当异或门的符号和外部端口 调用程序包 语句 al、bl和cl确定之后,就要确定 实体的内部电路,使之与实体相 对应。电路描述部分称之为结构 体 ARCHITECTURE,它描述实 实体 体硬件的互连关系、数据的传输 及实 体声 和变换以及动态行为。一个实体 明语 可以对应多个结构体,每个结构 句 标准程序包 定义程序包 体可以代表该硬件的某一方面特 性,例如行为特性,结构特性。 例3 ARCHITECTURE kXor arc of kxor 结结 BEGIN 构构 体体 结构体 c1<=(NOT al ANd b1)OR(al AND NOT b1); i END kxor arcs

三、描述部分—结构体: 当异或门的符号和外部端口 a1、b1和c1确定之后,就要确定 实体的内部电路,使之与实体相 对应。电路描述部分称之为结构 体ARCHITECTURE,它描述实 体硬件的互连关系、数据的传输 和变换以及动态行为。一个实体 可以对应多个结构体,每个结构 体可以代表该硬件的某一方面特 性,例如行为特性,结构特性。 调用程序包 语句 标 准 程 序 包 定 义 程 序 包 实体 及实 体声 明语 句 结 构 体 1 结 构 体 2 结 构 体 n ARCHITECTURE kxor_arc OF kxor IS 例3 BEGIN c1 <= (NOT a1 AND b1) OR (a1 AND NOT b1); END kxor_arc;

点击下载完整版文档(PPT)VIP每日下载上限内不扣除下载券和下载次数;
按次数下载不扣除下载券;
24小时内重复下载只扣除一次;
顺序:VIP每日次数-->可用次数-->下载券;
共48页,可试读16页,点击继续阅读 ↓↓
相关文档

关于我们|帮助中心|下载说明|相关软件|意见反馈|联系我们

Copyright © 2008-现在 cucdc.com 高等教育资讯网 版权所有