正在加载图片...
10.28位数码扫描显示电路设计 要求:在8个数码管上显示数据“124579DF” 原理:K1Kg选通信号; K K5 K 8位数码扫描显示电路 Scan led clk-时钟 clk scan-地址选择控制信号输入端 seg-段显示控制信号输出端 scan/…… 8位数码管扫描显示电路的电路符号10.2 8位数码扫描显示电路设计 a g K1 a g K2 a g K3 a g K4 a g K5 a g K6 a g K7 a g K8 要求:在8个数码管上显示数据“124579DF” 原理:K1 -K8 :选通信号; 8位数码扫描显示电路 8位数码管扫描显示电路的电路符号 clk scan[7…0] clk –时钟 seg – 段显示控制信号输出端 Scan_led scan – 地址选择控制信号输入端 seg[7…0]
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有