正在加载图片...
用 generIc参数化模型 例 LIBRARY my lib USe my lib. my qsim logic. ALL ENTITY test and2 gate IS END test and2 gate三、用generic参数化模型 例: LIBRARY my_lib; USE my_lib. my_qsim_logic. ALL; ENTITY test_and2_gate IS END test_and2_gate;
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有