正在加载图片...
2器时 112 Synplify与MAX+plu的接口 6.设定EDF文件为工程 EDIF netlist Reader Settings Vendor:Synplicity 厂 Show LMF Mapping Messages 7.选定EDF文件来源 Customize > OK Cancel 8.选定目标器件 Signal Names VCC: CC GND: GND 9.编译适配 Library Mapping Files F LMF #1C e: \edapro\maxplus 2\lmf\ synplctyImf T LMF #2C e: \edapro'ksynp62 \synplify (lib \synplcty Imf Directory is: e: \edapro\synp 62\synplifywytmp \rev_3 Directories 图116 Synplify的 综合后门级电路图 Drives康芯科技 11.2 Synplify与MAX+plusII的接口 6. 设定EDF文件为工程 7. 选定EDF文件来源 图11-6 Synplify的 综合后门级电路图 8. 选定目标器件 9. 编译适配
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有