正在加载图片...
Behavioral Compiler Module Compiler 2 file_list][-update][-schedule] elaborate -schedule entity_name -archarch_name 3.给设计时序约束: 初始约束:create_clock set dont use set drive set_driving_cell set input delay set_load bc_margin set_memory_input_delay set_memory_output_delay set_operating_conditions set_wire_load_min_block_size set wire load mode set wire load model set_wire selection _mode 设计检查:bc_check_design 时序和面积预算:bc_time_design[-force][-fastest] 产生时序面积的预算报告:report_resource_estimates 输出时序的.db文件:write 4.约束安排:约束: set cycles set max_cycles set min_cycles set_memory_input_delay set_memory_output_delay pipeline_loop 驱动: bc fsm coding style bc dont register input port bc dont ungroup chain operations dont chain operations ignore_array_precedences ignore_array_loop_precedences ignore_memory_precedences ignore_memory_loop_precedences set common_resource set exclusive use set behavioral reset 用report_scheduling_.constraints显示当前设计上安排的约束。 5.设计安排(scheduling the design): schedule [-effort zero low medium high][-io_mode cycle_fixed superstate_fixed][-extend_latency][-hostname] [-arch remote_host_architecture][-allocation_ effort zero low|medium high] schedule报告: report_schedule [-process process_name][-operations [-mask [r][w][l][L][o][p]][-start start_cycle][-finish end_cycle][-delimiterBehavioral Compiler 和 Module Compiler 2 file_list] [-update] [-schedule] 如: elaborate -schedule entity_name -arch arch_name 3. 给设计时序约束: 初始约束: create_clock set_dont_use set_drive set_driving_cell set_input_delay set_load bc_margin set_memory_input_delay set_memory_output_delay set_operating_conditions set_wire_load_min_block_size set_wire_load_mode set_wire_load_model set_wire_selection_mode 设计检查 : bc_check_design 时序和面积预算: bc_time_design [-force] [-fastest] 产生时序面积的预算报告: report_resource_estimates 输出时序的.db 文件: write 4. 约束安排: 约束: set_cycles set_max_cycles set_min_cycles set_memory_input_delay set_memory_output_delay pipeline_loop 驱动: bc_fsm_coding_style bc_dont_register_input_port bc_dont_ungroup chain_operations dont_chain_operations ignore_array_precedences ignore_array_loop_precedences ignore_memory_precedences ignore_memory_loop_precedences set_common_resource set_exclusive_use set_behavioral_reset 用 report_scheduling_constraints 显示当前设计上安排的约束。 5. 设计安排(scheduling the design): schedule [-effort zero | low | medium | high] [-io_mode cycle_fixed | superstate_fixed] [-extend_latency] [-hostname] [-arch remote_host_architecture] [-allocation_ effort zero | low| medium | high] schedule 报告: report_schedule [-process process_name] [-operations [-mask [r][w][l][L][o][p]] [-start start_cycle] [-finish end_cycle] [-delimiter
<<向上翻页向下翻页>>
©2008-现在 cucdc.com 高等教育资讯网 版权所有