点击切换搜索课件文库搜索结果(153)
文档格式:PDF 文档大小:862.32KB 文档页数:49
1.文本编辑:用任何文本编辑器都可以进行,也可以用专用的 HDL编辑环境。通常VHDL文件保存为.vhd文件 2.功能仿真:将文件调入HDL仿真软件进行功能仿真,检查逻辑 功能是否正确(也叫前仿真,对简单的设计可以跳过这一步, 只在布线完成以后,进行时序仿真)
文档格式:PPT 文档大小:188KB 文档页数:26
VHDL顺序语句 顺序语句只能出现在进程(Process)、函数 (Function)和过程(Procedure)中; 顺序语句像高级计算机语言一样,按其出现的 先后顺序依次执行;
文档格式:PPT 文档大小:189KB 文档页数:20
它是20世纪80年代初,由美国国防部为其超 高速集成电路 VHS计划提出的硬件描述语言, 它支持硬件的设计、综合、验证和测试。 IEEE于1987年公布了VHDL的标准版本(IEEE STD1076/1987),1993年重新公布了新的标准 (IEEE STD1076-1993)
文档格式:PPT 文档大小:144KB 文档页数:28
Library库 编译后数据的集合,存放包集合定义、实体定 义、构造体定义和配置定义,其功能相当于其 他操作系统中的目录,经过说明后,设计中就 可以使用库中的数据,实现共享;
文档格式:PPT 文档大小:1.13MB 文档页数:130
硬件执行:并发执行(VHDL本质) 仿真执行:顺序执行、并发执行 分为两大类:顺序( Sequential)描述语句 并发( Concurrent)描述语句
文档格式:PPT 文档大小:827KB 文档页数:110
一、什么是VDL? VHDL VHSIC (Very High Speed Integrated Circuit) Hardware Description Lanquage
文档格式:PPT 文档大小:154.5KB 文档页数:26
设计的要点:建立元件端口之间的连接; 元件:已经定义的电路模块(实体),可以 来自标准库中,也可以是自己或他人以前编 译过的实体; 元件的基本要点: 元件名输入/输出端口特点;
文档格式:PPT 文档大小:148KB 文档页数:32
Library库 编译后数据的集合,存放包集合定义、实体定 义、构造体定义和配置定义,其功能相当于其 他操作系统中的目录,经过说明后,设计中就 可以使用库中的数据,实现共享;
文档格式:PPT 文档大小:179KB 文档页数:29
全方位硬件描述—从系统到电路 多种描述方式—适应层次化设计 数据类型丰富,语法严格清晰 串行和并行通用,物理过程清楚 与工艺结构无关,可用于各类EDA工具
文档格式:PPT 文档大小:777KB 文档页数:16
广东海洋大学:《VHDL程序设计语言》课程教学资源(PPT课件)第8章 有限状态机的VHDL设计
首页上页23456789下页末页
热门关键字
搜索一下,找到相关课件或文库资源 153 个  
©2008-现在 cucdc.com 高等教育资讯网 版权所有