当前位置:高等教育资讯网  >  中国高校课件下载中心  >  大学文库  >  浏览文档

《EDA工程实践》第一章 MAX+PLUS II图形输入方式

资源类别:文库,文档格式:PPT,文档页数:39,文件大小:1.87MB,团购合买
一、MAX+plusl设计流程 延时网表提取、编程文件汇编编译网表提取、数据库建立、逻辑综合、逻辑分割、适配。 二、半加器 只求本位和,不考虑低位的进位。实现半加操作的电路叫做半加器。
点击下载完整版文档(PPT)

第1章 MAX+PLUsⅡ图形输入方式

第 1 章 MAX+PLUS II 图形输入方式

EDA技术讲义 MAX+plus设计流程 延时网表提取、编程文件汇编 编译网表提取、数据库建立、逻辑综合、逻辑分割、适配 图形或HDL 编程器 编辑器 设计输入→综合或编辑→→适配器件 下载 仿真

EDA技术讲义 MAX+plusII设计流程 图形或HDL 编辑器 编译网表提取、数据库建立、逻辑综合、逻辑分割、适配 延时网表提取、编程文件汇编 编 程 器 设 计 输 入 综合或编 辑 适 配 器 件 下 载 仿 真

EDA技术讲义 半加器 只求本位和,不考虑低位的进位。实现半加操作的电 路叫做半加器 状态表 A、B为两个加数 ABC S C为向高位的进位 0000 0101 C=AB 1110 S为半加和 S=AB+AB=AoB

EDA技术讲义 半 加 器 只求本位和,不考虑低位的进位。实现半加操作的电 路叫做半加器。 C=AB A、B为两个加数 C为向高位的进位 S为半加和 状态表 A B C 0 0 0 0 1 0 1 0 1 1 S 0 1 0 1 1 0 S = AB + AB = A B

EDA技术讲义 全加器 被加数、加数以及低位的进位三者相加称为“全加”, 实现全加操作的电路叫做全加器。 状态表 B;|C;:1S IS A0000111 半加器 0 0010 A B 10 0101010 001 半加器 SCil C1:来自低位的进位 C:向高位的进位

EDA技术讲义 被加数、加数以及低位的进位三者相加称为“全加”, 实现全加操作的电路叫做全加器。 Ci-1:来自低位的进位 Ci:向高位的进位 全 加 器 半 加 器 半 加 器 Ai Bi Ci-1 Ci Si S AiBi SCi-1 >1 Ai Bi Ci-1 Si 0 0 0 0 0 0 0 1 1 0 1 1 1 0 0 0 1 1 1 1 0 1 0 0 1 0 1 1 1 0 1 1 状态表 Ci 0 1 1 1 1 0 0 0

EDA技术讲义 第1章MAX+PLUSⅡ图形输入方式 1.1基本设计步骤 以1位全加器设计为例讲述MAX+PIUSⅡ图形输入方式设计流程 步骤1:为本项工程设计建立文件夹 注意 文件夹名不能用中文,且不可带空格

EDA技术讲义 第1章 MAX+PLUS II 图形输入方式 1.1 基本设计步骤 步骤1:为本项工程设计建立文件夹 注意: 文件夹名不能用中文,且不可带空格。 以1位全加器设计为例讲述MAX+PLUS II 图形输入方式设计流程

步骤1:为本项工程设计建立文件夹 EDA技术讲义 为设计全加器 9新建一个文件夹作工作库 文件)编辑)查看C)收藏()工具①)帮助y 后退·②·搜索文件夹 地址①)@n: 文件和文件夹任务 altera 文件夹 重命名这个文件夹 移动这个文件夹 卫ter⊥ eave 0复制这个文件夹 文件夹 文件夹 将这个文件夹发布到 neb 共享此文件夹 maR∠wor 文件夹名取为 adder 以电子邮件形式发送该 件夹注意,文件夹名不可用中文 文件卖内的宴件

EDA技术讲义 文件夹名取为adder 注意,文件夹名不可用中文 为设计全加器 新建一个文件夹作工作库 步骤1:为本项工程设计建立文件夹

步骤2:打开MAX+ PLUS II,输入设计项目和存盘 EDA技术讲义 I9 MAX+plus II Manager -d: \003\edwritingl'pk-1k30\ dac0832\dac MAXtplus II File Assign Options Help 新建一个设计文件 c回 Proiect 回為蘭岛 Ctrl+o 使用原理图输入方法设计 Delete File Ctrl+T 必须选择打开原理图编辑器 MegaWizard Plug In Manager Exit MAXtplus II Alt+F4 A File raphIc Editor Symbol Editor file MAX+ Gs Text editor file C Waveform Editor file .scf Cancel 进入MAX+ plusll,建立一个新的设计文件

EDA技术讲义 步骤2:打开MAX+PLUS II,输入设计项目和存盘 进入MAX+plusII,建立一个新的设计文件 使用原理图输入方法设计 必须选择打开原理图编辑器 新建一个设计文件

EDA技术讲义 绘图工具条 主工具条 主菜单 绘图工作区 MⅡdma可k aIx 选择工具 △BB画阳y西~m 「正交线工具 文本工具 弧线工具 直线工具 圆形工具1 匚放大按纽卜 与窗口适配 缩小按纽 连接点接/断 打开橡皮筋 连接功能 关闭橡皮筋 连接功能 图形编辑器窗口简介

EDA技术讲义 图形编辑器窗口简介

(9MAXtplus II-d: \003\cdwritingl\pk-1k30\dac0832\dac-[Untitledl-Graphic Editor] 旧区 A MAXtplus II Eile Edit yiew Symbol Assign Utilities ptions Window Help ×」 赠先在这里用鼠标右键产生此窗,并 Enter Symbol 选择“ Enter Symbol”输入一个元件 Symbol Name: and2 Megawizard Plug-in Manager 也可在这里输入 ol libraries. 元件名,如2输不(0真面 Enter Text 入与门AND2, davol lhs mf Text size Fon 输出引脚: Line Style OUTPUT Directory is: d: \maxplus 2\max 2lib\prim Symbol File Direc and12 然后用鼠标双 maxl 击这基本硬件库m and12 这是基本硬件库 d 中的各种逻辑元件 OK Cancel 元件输入对话框

EDA技术讲义 元件输入对话框 首先在这里用鼠标右键产生此窗,并 选择“Enter Symbol”输入一个元件 也可在这里输入 元件名,如2输 入与门AND2, 输出引脚: OUTPUT 然后用鼠标双 击这基本硬件库 这是基本硬件库 中的各种逻辑元件

输入引脚 输出引脚EDA技术讲义 INPUT OUTPU AND2 7 PIN_NAME LNCC PIN NAME XOR 8 PIN_NAME -vce D eI PIN_NAME 将所需元件全部调入原理图编辑窗口 将他们连接 AND2 成半加器 OUTPUT CO A XOR B 说1)mr0 连接好的原理图

EDA技术讲义 将所需元件全部调入原理图编辑窗口 连接好的原理图 输出引脚 OUTPUT 输入引脚 INPUT 将他们连接 成半加器

点击下载完整版文档(PPT)VIP每日下载上限内不扣除下载券和下载次数;
按次数下载不扣除下载券;
24小时内重复下载只扣除一次;
顺序:VIP每日次数-->可用次数-->下载券;
共39页,可试读13页,点击继续阅读 ↓↓
相关文档

关于我们|帮助中心|下载说明|相关软件|意见反馈|联系我们

Copyright © 2008-现在 cucdc.com 高等教育资讯网 版权所有