当前位置:高等教育资讯网  >  中国高校课件下载中心  >  大学文库  >  浏览文档

广东海洋大学:《VHDL程序设计语言》课程教学资源(实验指导)实验四 Counters

资源类别:文库,文档格式:PDF,文档页数:2,文件大小:33.67KB,团购合买
点击下载完整版文档(PDF)

Laboratory Exercise 4 Counters This is an exercise in using counters PartI Consider i Figure 1.It isa4-bit syn s asserte sing the Reset ta 16-bit co ter of thist Figure 1.A4-bit counter. 1.Writea VHDL file that defines a 16-bit frequency.at which your circut can be operated? 2.Simulate your circuit to verify its correctness. 3.Augment your VHDL file to use the pushbutton KEYo as the Clock input,switches SW and SWo as Enable and Reser inputs.and 7-segment displays HEX-0to display the hexadecimal countas your circuit operates.Make the necessary pin assignments and compile the circuit 4.Implement your circuit on the DE2 board and test its functionality by operating the implemented switches Simplify your VHDL code so that the counter specification is based on the VHDL statement Q<=Q+1: r that is s inable from PartI. 1

Laboratory Exercise 4 Counters This is an exercise in using counters. Part I Consider the circuit in Figure 1. It is a 4-bit synchronous counter which uses four T-type flip-flops. The counter increments its count on each positive edge of the clock if the Enable signal is asserted. The counter is reset to 0 by using the Reset signal. You are to implement a 16-bit counter of this type. T Q Clock Q T Q Q Enable Clear T Q Q T Q Q Figure 1. A 4-bit counter. 1. Write a VHDL file that defines a 16-bit counter by using the structure depicted in Figure 8, and compile the circuit. How many logic elements (LEs) are used to implement your circuit? What is the maximum frequency, Fmax, at which your circuit can be operated? 2. Simulate your circuit to verify its correctness. 3. Augment your VHDL file to use the pushbutton KEY0 as the Clock input, switches SW1 and SW0 as Enable and Reset inputs, and 7-segment displays HEX3-0 to display the hexadecimal count as your circuit operates. Make the necessary pin assignments and compile the circuit. 4. Implement your circuit on the DE2 board and test its functionality by operating the implemented switches. 5. Implement a 4-bit version of your circuit and use the Quartus II RTL Viewer to see how Quartus II software synthesized your circuit. What are the differences in comparison with Figure 8? Part II Simplify your VHDL code so that the counter specification is based on the VHDL statement Q <= Q + 1; Compile a 16-bit version of this counter and compare the number of LEs needed and the Fmax that is attainable. Use the RTL Viewer to see the structure of this implementation and comment on the differences with the design from Part I. 1

Part III Use an LPM from the Library of Parameterized modules to implement a 16-bit counter.Choose the LPM options to be consistent with the above design,i.e.with enable and synchronous clear.How does this version compare with the previous designs? Part IV Design and implement a circuit that successively flashes digits 0 through 9 on the 7-segment display HEXO. Each digit should be displayed for about one second.Use a counter to determine the one-second intervals.The counter should be incremented by the 50-MHz clock signal provided on the DE2 board.Do not derive any other clock signals in your design-make sure that all flip-flops in your circuit are clocked directly by the 50 MHz clock signal. Part V Design and implement a circuit that displays the word HELLO,in ticker tape fashion,on the eight 7-segment displays HEX7-0.Make the letters move from right to left in intervals of about one second.The patterns that should be displayed in successive clock intervals are given in Table 1. Clock cycle Displayed pattern 0 H EL L O HEL LO 2 H ELL O 3 H EL L 0 × LL 0 H J L L 0 6 0 H EL > O H EL L P H E LL O and so on Table 1.Scrolling the word HELLO in ticker-tape fashion. Copyright (c)2006 Altera Corporation 2

Part III Use an LPM from the Library of Parameterized modules to implement a 16-bit counter. Choose the LPM options to be consistent with the above design, i.e. with enable and synchronous clear. How does this version compare with the previous designs? Part IV Design and implement a circuit that successively flashes digits 0 through 9 on the 7-segment display HEX0. Each digit should be displayed for about one second. Use a counter to determine the one-second intervals. The counter should be incremented by the 50-MHz clock signal provided on the DE2 board. Do not derive any other clock signals in your design–make sure that all flip-flops in your circuit are clocked directly by the 50 MHz clock signal. Part V Design and implement a circuit that displays the word HELLO, in ticker tape fashion, on the eight 7-segment displays HEX7 − 0. Make the letters move from right to left in intervals of about one second. The patterns that should be displayed in successive clock intervals are given in Table 1. Clock cycle Displayed pattern 0 HELLO 1 HELLO 2 HELLO 3 HELLO 4 ELLO H 5 LLO HE 6 LO HEL 7 O HELL 8 HELLO ... and so on Table 1. Scrolling the word HELLO in ticker-tape fashion. Copyright c 2006 Altera Corporation. 2

点击下载完整版文档(PDF)VIP每日下载上限内不扣除下载券和下载次数;
按次数下载不扣除下载券;
24小时内重复下载只扣除一次;
顺序:VIP每日次数-->可用次数-->下载券;
已到末页,全文结束
相关文档

关于我们|帮助中心|下载说明|相关软件|意见反馈|联系我们

Copyright © 2008-现在 cucdc.com 高等教育资讯网 版权所有