当前位置:高等教育资讯网  >  中国高校课件下载中心  >  大学文库  >  浏览文档

江西农业大学:《数字逻辑》课程教学资源(PPT讲稿)第六章 时序逻辑电路——6.4 寄存器与移位寄存器 6.4.3.2 锁存器、寄存器和移位寄存器

资源类别:文库,文档格式:PPT,文档页数:9,文件大小:417KB,团购合买
点击下载完整版文档(PPT)

3.2锁存器、寄存器和移位寄存器 3.2.1 锁存器:传送和存储多位数据的逻辑构件 锁存器构成:钟控D触发器 74LS3 锁存使能:电位控制 锁存喜 输出形式:三态门控制输出 使用场合:数据滞后于控制信号时 输出控制 G D 输出 0 0 0 0 0 0 X Qn ×× 高阻

3.2 锁存器、寄存器和移位寄存器 功 能 表 1 × × 高阻 Qn 0 0 × 0 1 0 0 0 1 1 1 输出控制 G D 输 出 3.2.1 锁存器 74LS373 锁存器 1Q Q D 1D 2Q D 2D 3Q D 3D 4Q D 4D 输出控制 使能 G Q Q Q 锁存器:传送和存储多位数据的逻辑构件 锁存使能:电位控制 输出形式:三态门控制输出 使用场合:数据滞后于控制信号时 锁存器构成:钟控 D 触发器

3.2.2寄存器 10 输出控制 74LS374 寄存器 时钟 CP 2D 3D 4D 功能表 输出控制 CP D 输出 0 1 1 0 ↑0 0 0 0× Qn ×× 高阻

功 能 表 1 × × 高阻 Qn 0 0 × 0 ↑ 0 0 0 ↑ 1 1 输出控制 CP D 输 出 3.2.2 寄存器 74LS374 寄存器 1Q Q D 1D 2Q D 2D 3Q D 3D 4Q D 4D 输出控制 时钟 CP Q Q Q

3.2.3移位寄存器 石移 寄存器 其连接关系满足: D=Q 左移 寄存器 其连接关系满足:D,=Q1

3.2.3 移位寄存器 其连接关系满足: Di =Qi-1 n D 1 D 2 D 3 D 4 Q1 Q2 Q3 Q4 X S CP 其连接关系满足: Di =Qi+1 n Q1 Q2 Q3 Q4 S X CP D 1 D 2 D 3 D 4 右移 寄存器 左移 寄存器

S S 右移串入SR 左移入 左移串入S 右移入 右移出 左移出 时钟CP Q Q 清除 输出控制 A/QA H/0 74LS299八位通用移位寄存器

74LS299八位通用移位寄存器 左移串入SL S0 S1 右移串入SR 右移出 左移出 QA Q H 左移入 右移入 A/QA H/QH 时钟CP D Q D Q 清除 G2 G1 输出控制 · · · · · · S0 S0 S1 S1

74LS299逻辑功能表 输 入 输入输出 输出 功能 输出 樱 藻 选择 控制 时 串入 A/ B/ C/ D/ E/ F/ GI Q QB Qc QD QE Q Qc Qu QA Q如 G cp S SR 0 0 0 0 × 0 0 0 0 0 0 0 0 除 0 0 0 0 0 0 0 0 0 0 0 0 0 0 Qco QFO 賽 QA0 Qco QHO QA0 QHO 0 0 0 Qco QED QGo QA0 右 0 1 QA Q 0 QGn 0 春 Ho QBn 1 0 0 数 a b d e 6 h

74LS299 逻辑功能表 S1 S0 G1 G2 SL SR 1 1 1 × × ↑ × × a b c d e f g h a h 置 数 1 0 QBn QBn 1 0 QHn QHn QGn QGn QFn QFn QFn QFn QDn QDn QCn QCn QBn QBn × × 1 0 ↑ ↑ 0 0 0 0 0 0 1 1 1 1 左 移 QGn QGn 1 0 QGn QGn QFn QFn QFn QFn QDn QDn QCn QCn QBn QBn QAn QAn 1 0 1 0 × × ↑ ↑ 0 0 0 0 1 1 0 0 1 1 右 移 QH0 QH0 QA0 QA0 QH0 QH0 QG0 QG0 QF0 QF0 QE0 QE0 QD0 QD0 QC0 QC0 QB0 QB0 QA0 QA0 × × × × × 0 0 0 0 0 0 × 0 × 1 1 保 持 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 × × × × × × 0 0 0 0 0 × × 0 0 0 清 除 QA QH H/ QH G/ QG F/ QF E/ QE D/ QD C/ QC B/ QB A/ QA 时 串入 钟 cp 输出 控制 功能 清 选择 除 输 入 输入∕输出 输出 模 式

425.0ns Name: Value: 1000ns 200.0ns 300Ons 400.0r i 5000ns 600.0rn3 7000ns 800.0ns 900.0ns D-sr load d H3 38 q 9 ar a HFF

Name: Value: 1000ns 200.0ns 400.Dns i500;Ons 600.0n3 800.0ns 900.0ns 0 sl 1 load ctr d clk 0 H38 0 2) I 0 9 0 0 H00 00389C CE E7 F3 F9 FC FE FF7F 3F 1F OF X 07 03 01 p080 CO E0 FO

T3.8 现有一片74LS299的8位通用移位寄存器,一片8位74LS373 锁存器,另有一个D触发器和一个与非门,请设计实现8位 数据的串行→并行转换器。 74LS299 QA 74LS373 A/QA G D B/QB 2V D. e G C/Qc D D/Qp So D4 0 E/QE D3 F/QF D2 G/Qc 1 H/QH Do C CR R Qu QH EN1 C2 G ● CP Lock/OE

T3.8 现有一片74LS299的8位通用移位寄存器,一片8位74LS373 锁存器,另有一个D触发器和一个与非门,请设计实现8位 数据的串行→并行转换器。 CP Lock∕OE 74LS373 & 74LS299 M 0 3 CR X SR G1 S0 S1 SL C R A/QA B/QB D/QD C/QC E/QE F/QF G/QG H/QH QA QH D7 D6 D5 D4 D3 D2 D1 D0 QA QB QD QC QE QF QG QH EN1 C2 2 G G2 C D Q

内容小结 锁存器、寄存器 移位寄存器 8位通用移位寄存器(74LS299) ✉

内容小结 锁存器、寄存器 移位寄存器 8位通用移位寄存器(74LS299)

点击下载完整版文档(PPT)VIP每日下载上限内不扣除下载券和下载次数;
按次数下载不扣除下载券;
24小时内重复下载只扣除一次;
顺序:VIP每日次数-->可用次数-->下载券;
已到末页,全文结束
相关文档

关于我们|帮助中心|下载说明|相关软件|意见反馈|联系我们

Copyright © 2008-现在 cucdc.com 高等教育资讯网 版权所有