当前位置:高等教育资讯网  >  中国高校课件下载中心  >  大学文库  >  浏览文档

电子科技大学:《VHDL语言与数字集成电路设计》第六章 逻辑综合

资源类别:文库,文档格式:PPT,文档页数:28,文件大小:212.5KB,团购合买
逻辑综合 逻辑综合将HD语言编写的行为模型转换 为电路结构模型(网表) 这种转换类似于C语言的编译器将C语言转 换为机器语言(二进制语言);
点击下载完整版文档(PPT)

逻辑综合 逻辑综合将HDL语言编写的行为模型转换 为电路结构模型(网表)。 这种转换类似于C语言的编译器将C语言转 换为机器语言(二进制语言)

逻辑综合 逻辑综合将HDL语言编写的行为模型转换 为电路结构模型(网表)。 这种转换类似于C语言的编译器将C语言转 换为机器语言(二进制语言);

逻辑综合 综合过程从原文出发或原始电路图出发,经 过逻辑分析,首先得出电路的详细描述,然 后再进行逻辑优化,得到简化的逻辑表达, 通过逻辑映射产生于实际电路单元的对应关 系,最后基于这种映射关系给出电路的时间 分析

逻辑综合 综合过程从原文出发或原始电路图出发,经 过逻辑分析,首先得出电路的详细描述,然 后再进行逻辑优化,得到简化的逻辑表达, 通过逻辑映射产生于实际电路单元的对应关 系,最后基于这种映射关系给出电路的时间 分析

逻辑综合 综合过程一定要基于指定的单元库(或PLD 器件)进行,选择不同的单元库会得出不同 的电路结构; 在对HDL语句的综合时,只有具备硬件对应 关系的语句才能被综合;不同的综合工具或 单元库对语言的支持能力不同

逻辑综合 综合过程一定要基于指定的单元库(或PLD 器件)进行,选择不同的单元库会得出不同 的电路结构; 在对HDL语句的综合时,只有具备硬件对应 关系的语句才能被综合;不同的综合工具或 单元库对语言的支持能力不同

HDL综合工具: Synplify 种专用的综合工具,可以支持较大范围 HDL语句的综合; 带有较全面的PLD器件库,支持采用多家公 司的各种CPLD或FPGA品件; 可以给出电路的RTL实现方式,为电路的进 步优化设计提供参考

HDL综合工具:Synplify 一种专用的综合工具,可以支持较大范围 HDL语句的综合; 带有较全面的PLD器件库,支持采用多家公 司的各种CPLD或FPGA器件; 可以给出电路的RTL实现方式,为电路的进 一步优化设计提供参考

ynplify Pro7.6基本使用流程 点击图标、打开程序; 建立约束和选项 点击 Impl Option按钮,打开约束和选项窗囗 器件选择 选择技术(公司型号)、器件类别、封装形式 速度级别;对布局选项进行设置(对于不同的 技术,选项不同);

Synplify Pro 7.6基本使用流程 点击图标、打开程序; 建立约束和选项: 点击Impl Option按钮,打开约束和选项窗口; 器件选择: 选择技术(公司型号)、器件类别、封装形式、 速度级别;对布局选项进行设置(对于不同的 技术,选项不同);

ynplify Pro7.6基本使用流程 约束选择: 通常采用自动约束方式,以评估设计可能实现 的最快速度;自动约束只献对 Atera和 XIlinx 的部分器件实行。要想对Io端口进行自动约束, 应该在约束选项中,选择 Use clock period for unconstrained Io;否则系统只对触发器 之间的通道进行约束

Synplify Pro 7.6基本使用流程 约束选择: 通常采用自动约束方式,以评估设计可能实现 的最快速度;自动约束只能对Atera和Xilinx 的部分器件实行。要想对IO端口进行自动约束, 应该在约束选项中,选择Use clock period for unconstrained IO;否则系统只对触发器 之间的通道进行约束

Synplify Pro7.6基本使用流程 打开或新建一个项目 (Open Project-New Project) 添加文件( Add file) 点击文件名,打开文本窗口,进行文件的输 入编辑; 保存编辑完成的文件后,回到项目窗口,运 行综合程序(Run);

Synplify Pro 7.6基本使用流程 打开或新建一个项目 (Open Project—New Project); 添加文件(Add File); 点击文件名,打开文本窗口,进行文件的输 入编辑; 保存编辑完成的文件后,回到项目窗口,运 行综合程序(Run);

对综合结果的分析 通过对综合文件进行分析( View Log),可 以得到器件综合的各种信息 时间特性( TIMING REPORT 最长延迟时间最高频率;各端口的时间信息; 面积特性( AREA REPORT) 器件使用量(IO单元、LUT单元、DSP块), 门输入数量,节点数量;

对综合结果的分析 通过对综合文件进行分析(View Log),可 以得到器件综合的各种信息: 时间特性(TIMING REPORT): 最长延迟时间/最高频率;各端口的时间信息; 面积特性(AREA REPORT): 器件使用量(IO单元、LUT单元、DSP块), 门输入数量,节点数量;

设计优化程度的衡量 通过对综合结果的分析比较,可以在一定程 度上判断设计的优劣程度。在此阶段,设计的 目标应该是使电路的频率最高,面积最小。需 要注意的是,由于各公司的各种等级的器件性 能不同,对设计优劣程度的比较应该在同一型 号的器件上进行

设计优化程度的衡量 通过对综合结果的分析比较,可以在一定程 度上判断设计的优劣程度。在此阶段,设计的 目标应该是使电路的频率最高,面积最小。需 要注意的是,由于各公司的各种等级的器件性 能不同,对设计优劣程度的比较应该在同一型 号的器件上进行

电路的结构视图 综合后的电路结构可以通过电路视图分析综 合的效果,电路视图可以给出电路中所有基本 器件的种类和数量,也给出端口和节点的数量 每个器件、端口、节点都给予了相应的命名 在电路视图中双击任何器件,可以显示程序中 与之相关的语句;

电路的结构视图 综合后的电路结构可以通过电路视图分析综 合的效果,电路视图可以给出电路中所有基本 器件的种类和数量,也给出端口和节点的数量; 每个器件、端口、节点都给予了相应的命名; 在电路视图中双击任何器件,可以显示程序中 与之相关的语句;

点击下载完整版文档(PPT)VIP每日下载上限内不扣除下载券和下载次数;
按次数下载不扣除下载券;
24小时内重复下载只扣除一次;
顺序:VIP每日次数-->可用次数-->下载券;
共28页,试读已结束,阅读完整版请下载
相关文档

关于我们|帮助中心|下载说明|相关软件|意见反馈|联系我们

Copyright © 2008-现在 cucdc.com 高等教育资讯网 版权所有