当前位置:高等教育资讯网  >  中国高校课件下载中心  >  大学文库  >  浏览文档

电子科技大学:《VHDL语言与数字集成电路设计》第十五章 数字电路模块的VHDL设计

资源类别:文库,文档格式:PPT,文档页数:25,文件大小:419KB,团购合买
数字电路模块的VHDL设计 一、组合模块的设计 二、时序模块的设计 三、存储模块的设计
点击下载完整版文档(PPT)

数字电路模块的VHDL设计 组合模块的设计 时序模块的设计 存储模块的设计

数字电路模块的VHDL设计 组合模块的设计 时序模块的设计 存储模块的设计

组合模块的VHDL设计 基本逻辑单元电路 数据传输控制电路 数据编码转换电路 数据运算电路

组合模块的VHDL设计 基本逻辑单元电路 数据传输控制电路 数据编码转换电路 数据运算电路

基本逻辑单元的设计 基本门电路表达简单逻辑关系,采用简单的 赋值语句就能方便地实现;没有必要采用更 复杂的结构。例如: 反相器y<=nota; 4输入与非门y<=not(a0anda1anda2anda3); 与或非y<=not( al and a2)or(a3anda4);

基本逻辑单元的设计 基本门电路表达简单逻辑关系,采用简单的 赋值语句就能方便地实现;没有必要采用更 复杂的结构。例如: 反相器 y <= not a; 4输入与非门 y <= not (a0 and a1 and a2 and a3); 与或非 y <= not((a1 and a2) or( a3 and a4));

基本逻辑单元的设计 为了表达门电路的延迟时间,可以利用 after语句表达延迟时间;对基本门电路延 迟时间的设计能够较真实地模拟电路信号传 输的实际情况,分析时序步骤的正确性,避 免竞争冒险;但吡类语句对电珞综合没有效 果;

基本逻辑单元的设计 为了表达门电路的延迟时间,可以利用 after语句表达延迟时间;对基本门电路延 迟时间的设计能够较真实地模拟电路信号传 输的实际情况,分析时序步骤的正确性,避 免竞争冒险;但此类语句对电路综合没有效 果;

基本逻辑单元的设计 例:3输入端异或门y=a⊕bc 参见p417表546 architecture rtl of kxor 3 is signal yl: std logIc, begin <=a xor b xor c y<=yl after 3 ns when y1=else yI after 5 ns when y1=0 end rti

基本逻辑单元的设计 例:3输入端异或门 参见 p.417 表5-46 architecture rtl of kxor3 is signal y1: std_logic; begin y1<= a xor b xor c ; y<= y1 after 3 ns when y1='1' else y1 after 5 ns when y1='0' ; end rtl; y = abc

数据传输控制单元的设计 三态总线控制; 多路数据选择控制; 多路数据分配控制;

数据传输控制单元的设计 三态总线控制; 多路数据选择控制; 多路数据分配控制;

数据传输控制单元:三态控制 单元器件的三态输出描述 引入中间信号,采用条件赋值语句; 例:4输入与非门 y <=not(a0 and al and a2 and a3) 改为: y1<=not(a0 and al and a2 and a3) y<=yI when en=Ielse Z;

数据传输控制单元:三态控制 单元器件的三态输出描述: 引入中间信号,采用条件赋值语句; 例: 4输入与非门 y <= not (a0 and a1 and a2 and a3); 改为: y1 <= not (a0 and a1 and a2 and a3); y<=y1 when en='1' else 'Z';

数据传输控制单元:三态控制 (1) 单向总线控制 G1 L (19) G2 L (1 74x541 3 (17 G1 G2 (4 (16) 18 A1 (5) 5y4 16 Y3 (14) A4 Y4/15 A5 (13) A6 Y6 8 A7 A76 (9) 11)

数据传输控制单元:三态控制 单向总线控制

数据传输控制单元:三态控制 单向总线控制74X541p272图5-57 architecture d of k7454l is signalen: std logic begin en7); end d

数据传输控制单元:三态控制 单向总线控制 74x541 p.272 图5-57 architecture d of k74541 is signal en:std_logic; begin en'Z'); end d;

数据传输控制单元:三态控制 双向总线控制 74245 G BBR BB3BB

数据传输控制单元:三态控制 双向总线控制

点击下载完整版文档(PPT)VIP每日下载上限内不扣除下载券和下载次数;
按次数下载不扣除下载券;
24小时内重复下载只扣除一次;
顺序:VIP每日次数-->可用次数-->下载券;
共25页,试读已结束,阅读完整版请下载
相关文档

关于我们|帮助中心|下载说明|相关软件|意见反馈|联系我们

Copyright © 2008-现在 cucdc.com 高等教育资讯网 版权所有