当前位置:高等教育资讯网  >  中国高校课件下载中心  >  大学文库  >  浏览文档

电子科技大学:《电子设计自动化技术》课程教学资源(讲义课件)第三章 VHDL构造体的描述方式

资源类别:文库,文档格式:PDF,文档页数:25,文件大小:654.89KB,团购合买
Review VHDL程序组成部分及其功能
点击下载完整版文档(PDF)

esTc 设计中 电子设计自动化技术 教师:李平教授(博导) Email: pliQuestc. edu.cn Te:83201794 2004年5月

设计中心 电子设计自动化技术 教师:李平教授(博导) Email: pli@uestc.edu.cn Tel: 83201794 2004年5月

esTc 设计中 电子设计自动化技术 第三章 VHDL构造体的描述方式

设计中心 电子设计自动化技术 第三章 VHDL构造体的描述方式

esTc 设计中 Review VHDL程序组成部分及其功能 实体(Bnty 构造体( Architecture)要新编写的程序单元 VHL程序 配置( Configuration 包集合2aage 设计共享部分,已经编写 厍 Library) 的程序单元

设计中心 Review VHDL程序组成部分及其功能

esTc 设计中 eveA VHDL程序的基本构成格式 ≡ LIBRARY IEEE;(库名) 指定所用库及说明使用 E USE IEEESTD LOGIC 1164.ALL: 库中哪一个包集合 包集合名全部 ENTITY实体名IS 实体说明 ≡END实体名; 实体声明完毕 ARCHITECTURE构造体名OF实体名IS 构造体说明 END构造体名

设计中心 Review VHDL程序的基本构成格式

esTc LIBRAR IEEE;(库名 --定所用库 USE IEEE STD LOGIC164ALL;「-使明烛用 包集合 全部,一库中事一个包集台 库说明 ENTTTYnux Is GENERIC (m: TIME: =lns FOR(do, dl, sel: IN BIT q: OUT BIT;, Reviev实体说明 ENDronx, ARCHITECTURE ormect OFrux IS BEGIN PROCESS (dO, dl, sel) VARIABLE tpl, trp2, tmp 3: BIT 构造体说明 BEGIN npl: =d ANd sel tmp2: =d ANd ( NOTsel mp3: =trpl ORtmp2 q<=tm3 AFTerm END PROCESS END correct

设计中心 Review

esTc 设计中 本章要点 构造体:是Ⅷ程序中最复杂的部分 构造体设计是圃程序设计的重点。 构造体主要有三种描述方式 行为描述、RT描述、结构描述。 设计者可以自由选择不同的描述方式 (根据设计阶段和设计意图的不同)。 深入理解本章举例,深入理解行为描述、 T描述以及结构描述分别的特点

设计中心 本章要点 • 构造体:是VHDL程序中最复杂的部分。 构造体设计是VHDL程序设计的重点。 • 构造体主要有三种描述方式: 行为描述、RTL描述、结构描述。 • 设计者可以自由选择不同的描述方式 (根据设计阶段和设计意图的不同)。 • 深入理解本章举例,深入理解行为描述、 RTL描述以及结构描述分别的特点

esTc 设计中 VHDL构造体的描述方式 ·行为( Behaviora)描述方式」 Input 行为描述 Output ·寄存器传输(RTL)或数据流 (Data-Fow)描述方式 Input Output ·结构化( Structura)描述方式 多层次的设计 直接用门电路单元搭建 X a u 半加器 half adder 半加器 sum cIn half adder 或门 cout or gate

设计中心 VHDL 构造体的描述方式 • 行为( Behavioral)描述方式 • 寄存器传输(RTL)或数据流 (Data-Flow)描述方式 • 结构化(Structural)描述方式 多层次的设计 直接用门电路单元搭建 u1 半加器 half_adder u2 半加器 half_adder u3或门 or_gate x y cin a b sum cout c

esTc 设计中 构造体的行为( Behaviora)描述方式 ·基于系统数学模型或系统工作原理 抽象程度高,不能进行逻辑综合( Logic Synthesis,) 设计复杂的或新的电子系统初,进行行为级描述 用于保护设计者的知识产权(eg)

设计中心 构造体的行为(Behavioral)描述方式 • 基于系统数学模型或系统工作原理 • 抽象程度高,不能进行逻辑综合(Logic Synthesis) • 设计复杂的或新的电子系统初,进行行为级描述 • 用于保护设计者的知识产权(e.g)

esTc 设计中 Behavioral描述方式应用举例 eg:本人负责的国家863超大规模集成电路设计 SOC专项“可编程逻辑器件核及其编译开发” 火该项目研制出国内第一颗复杂可编程逻 m 辑器件CPLD,填补国内空白;于 20021-200312,国家863计划SOC专项 资助下研制出具有72个宏单元的cPLD芯 片(集成度为15万门),1200年过部级鉴医 定,2005年获国防科技进步三等奖 uniD 鉴定意见:该项目结束了国外在可 编程逻辑器件设讨技术方面垄断的 s历史

设计中心 e.g: 本人负责的国家863超大规模集成电路设计 SOC专项“可编程逻辑器件核及其编译开发” *该项目研制出国内第一颗复杂可编程逻 辑器件CPLD,填补国内空白; 于 2002.1-2003.12,国家863计划SOC专项 资助下研制出具有72个宏单元的CPLD芯 片(集成度为15万门),2004年通过部级鉴 定,2005年获国防科技进步三等奖。 鉴定意见:该项目结束了国外在可 编程逻辑器件设计技术方面垄断的 历史。 Behavioral描述方式应用举例

esTc 设计中 T Region is a flash P(0.35um,2P3M) ms It was provided by the foundry 且 i We, CPLD designer, B Eimer f- could use it in the simulation but we a=t could not see it

设计中心 T Region is a flash IP(0.35 um, 2P3M) It was provided by the foundry We, CPLD designer, could use it in the simulation, but we could not see it

点击下载完整版文档(PDF)VIP每日下载上限内不扣除下载券和下载次数;
按次数下载不扣除下载券;
24小时内重复下载只扣除一次;
顺序:VIP每日次数-->可用次数-->下载券;
共25页,试读已结束,阅读完整版请下载
相关文档

关于我们|帮助中心|下载说明|相关软件|意见反馈|联系我们

Copyright © 2008-现在 cucdc.com 高等教育资讯网 版权所有