当前位置:高等教育资讯网  >  中国高校课件下载中心  >  大学文库  >  浏览文档

《电子工程师手册》学习资料(英文版)chapter 25 Integrated Circuits

资源类别:文库,文档格式:PDF,文档页数:34,文件大小:2.79MB,团购合买
25.1 Integrated Circuit Technology Technology Perspectives. Technology Generations.National Technology Roadmap for Semiconductors Joe E.Brewer 25.2 Layout, Placement, and Routing Northrop Grumman Corporation What Is Layout?. Floorplanning Techniques.Placement
点击下载完整版文档(PDF)

Brewer, J.E., Zargham, M.R., Tragoudas, S, Tewksbury, S"Integrated Circuits The Electrical Engineering Handbook Ed. Richard C. Dorf Boca raton crc Press llc. 2000

Brewer, J.E., Zargham, M.R., Tragoudas, S., Tewksbury, S. “Integrated Circuits” The Electrical Engineering Handbook Ed. Richard C. Dorf Boca Raton: CRC Press LLC, 2000

25 Integrated Circuits Technology Perspect hnology Generations. National loe e. brewer 25 Technology Roadmap for Semiconductors Northrop Grumman Corporation What ls Layout?. Floorplanning Techniques. Placement Techniques. Routing Techniques Medhi R Zargham and Spyros trager Introduction. Primary Steps of VLSI ASIC Design. Increasing Southern Illinois University Impact of Interconnection Delays on Design.General Transistor- Level Design of CMOS Circuits. ASIC Technologies Stuart Tewksbury Interconnection Performance Modeling. Clock Distribution West Virginia University Power Distribution. Analog and Mixed-Signal ASICs 25.1 Integrated Circuit Technology Joe e. Brewer Integrated circuit(IC)technology, the cornerstone of the modern electronics industry, is subject to rapid change Electronic engineers, especially those engaged in research and development, can benefit from an understanding of the structure and pattern of growth of the technology. Technology Perspective A solid state IC is a group of interconnected circuit elements formed on or within a continuous substrate While an integrated circuit may be based on many different material systems, silicon is by far the dominant material. More than 98%of contemporary electronic devices are based on silicon technology. On the order of 85% of silicon ICs are complementary metal oxide semiconductor( CMOS)devices From an economic standpoint the most important metric for an IC is the "level of functional integration Since the invention of the IC by Jack Kilby in 1958, the level of integration has steadily increased. The pleasant result is that cost and physical size per function reduce continuously, and we enjoy a flow of new, affordable information processing products that pervade all aspects of our day-to-day lives. The historical rate of increase is a doubling of functional content per chip every 18 months or engineers who work with products that use semiconductor devices, the challenge is to anticipate and make use of these enhanced capabilities in a timely manner. It is not an overstatement to say that survival in the marketplace depends on rapid"design-in"and deployment. or engineers who work in the semiconductor industry, or in its myriad of supporting industries, the challenge is to maintain this relentless growth. The entire industry is marching to a drumbeat. The cost of technology development and the investment in plant and equipment have risen to billions of dollars. Companies that lag behind face a serious loss of market share and, possibly, dire economic consequences c 2000 by CRC Press LLC

© 2000 by CRC Press LLC 25 Integrated Circuits 25.1 Integrated Circuit Technology Technology Perspectives • Technology Generations • National Technology Roadmap for Semiconductors 25.2 Layout, Placement, and Routing What Is Layout? • Floorplanning Techniques • Placement Techniques • Routing Techniques 25.3 Application-Specific Integrated Circuits Introduction • Primary Steps of VLSI ASIC Design • Increasing Impact of Interconnection Delays on Design • General Transistor￾Level Design of CMOS Circuits • ASIC Technologies • Interconnection Performance Modeling • Clock Distribution • Power Distribution • Analog and Mixed-Signal ASICs 25.1 Integrated Circuit Technology Joe E. Brewer Integrated circuit (IC) technology, the cornerstone of the modern electronics industry, is subject to rapid change. Electronic engineers, especially those engaged in research and development, can benefit from an understanding of the structure and pattern of growth of the technology. Technology Perspective A solid state IC is a group of interconnected circuit elements formed on or within a continuous substrate. While an integrated circuit may be based on many different material systems, silicon is by far the dominant material. More than 98% of contemporary electronic devices are based on silicon technology. On the order of 85% of silicon ICs are complementary metal oxide semiconductor (CMOS) devices. From an economic standpoint the most important metric for an IC is the “level of functional integration.” Since the invention of the IC by Jack Kilby in 1958, the level of integration has steadily increased. The pleasant result is that cost and physical size per function reduce continuously, and we enjoy a flow of new, affordable information processing products that pervade all aspects of our day-to-day lives. The historical rate of increase is a doubling of functional content per chip every 18 months. For engineers who work with products that use semiconductor devices, the challenge is to anticipate and make use of these enhanced capabilities in a timely manner. It is not an overstatement to say that survival in the marketplace depends on rapid “design-in” and deployment. For engineers who work in the semiconductor industry, or in its myriad of supporting industries, the challenge is to maintain this relentless growth. The entire industry is marching to a drumbeat. The cost of technology development and the investment in plant and equipment have risen to billions of dollars. Companies that lag behind face a serious loss of market share and, possibly, dire economic consequences. Joe E. Brewer Northrop Grumman Corporation Medhi R. Zargham and Spyros Tragoudas Southern Illinois University Stuart Tewksbury West Virginia University

Technology Generations The concept of a technology generation emerged from analysis of historical records, was clearly defined by Gordon Moore in the 1960s, and codified as Moore's law. The current version of the law is that succeeding generations will support a four times increase in circuit complexity, and that new generations emerge at approximately 3-year intervals. The associated observations are that linear dimensions of device features change by a factor of 0.7, and the economically viable die size grows by a factor of 1.6. Minimum feature size stated in microns(micrometers) is the term used most frequently to label a technology generation. "Feature"refers to a geometric object in the mask set such as a linewidth or a gate length. The minimum feature" is the smallest dimension that can be reliably used to form the entity. Figure 25 1 displays the technology evolution sequence. In the diagram succeeding generations are numbered using the current generation as the 0"reference. Because this material was written in 1996, the0"generation is the 0.35 um mir feature size technology that began volume production in 1995 An individual device generation has been observed to have a reasonably well-defined life cycle which cover about 17 years. The first year of volume manufacture is the reference point for a generation, but ctually extends further in both directions. As shown in Fig. 25. 2, one can think of the stages of maturity as ranging over a linear scale which measures years to production in both the plus and minus directions. The 17-year life cycle of a single generation, with new generations being introduced at 3-year intervals, means that at any given time up to six generations are being worked on. This tends to blur the significance of research news and company announcements unless the reader is sensitive to the technology overlap in time. To visualize this situation, consider Fig. 25. 3. The top row lists calendar years. The second row shows how the life cycle of the 0. 35 um generation relates to the calendar. The third row shows the life cycle of the 0.25 um generation vs the calendar. Looking down any column corresponding to a specific calendar year, one can see which generations are active and identify their respective life cycle year. ation generation 1 generation 2 ge 3 generation 4 generation 5 035025018014 |005μ esearc FIGURE 25.1 Semiconductor technology generation time sequence INDUSTRIAL RESEARCH DEVELOPMENT MANUFACTURING UNIVERSITY feasibility productization RESEARCH po|s8|6-1413121011234| FIGURE 25.2 Life cycle of a semiconductor technology generation. 99697989900010203040506070809101 345 -6-5|4 -9-8-7-6-5|4 2 1109876543-2|1001|234 -11-10-9-8-7-654-3-2|-1 FIGURE 25.3 Time overlap of semiconductor technology generations c 2000 by CRC Press LLC

© 2000 by CRC Press LLC Technology Generations The concept of a technology generation emerged from analysis of historical records, was clearly defined by Gordon Moore in the 1960s, and codified as Moore’s law. The current version of the law is that succeeding generations will support a four times increase in circuit complexity, and that new generations emerge at approximately 3-year intervals. The associated observations are that linear dimensions of device features change by a factor of 0.7, and the economically viable die size grows by a factor of 1.6. Minimum feature size stated in microns (micrometers) is the term used most frequently to label a technology generation. “Feature” refers to a geometric object in the mask set such as a linewidth or a gate length. The “minimum feature” is the smallest dimension that can be reliably used to form the entity. Figure 25.1 displays the technology evolution sequence. In the diagram succeeding generations are numbered using the current generation as the “0” reference. Because this material was written in 1996, the “0” generation is the 0.35 mm minimum feature size technology that began volume production in 1995. An individual device generation has been observed to have a reasonably well-defined life cycle which covers about 17 years. The first year of volume manufacture is the reference point for a generation, but its lifetime actually extends further in both directions. As shown in Fig. 25.2, one can think of the stages of maturity as ranging over a linear scale which measures years to production in both the plus and minus directions. The 17-year life cycle of a single generation, with new generations being introduced at 3-year intervals, means that at any given time up to six generations are being worked on. This tends to blur the significance of research news and company announcements unless the reader is sensitive to the technology overlap in time. To visualize this situation, consider Fig. 25.3. The top row lists calendar years. The second row shows how the life cycle of the 0.35 mm generation relates to the calendar. The third row shows the life cycle of the 0.25 mm generation vs. the calendar. Looking down any column corresponding to a specific calendar year, one can see which generations are active and identify their respective life cycle year. FIGURE 25.1 Semiconductor technology generation time sequence. FIGURE 25.2 Life cycle of a semiconductor technology generation. FIGURE 25.3 Time overlap of semiconductor technology generations

One should not interpret the 17-year life cycle as meaning that no work is being performed that is relevant to a generation before the 17-year period begins. For example, many organizations are conducting experiments directed at transistors with gate lengths smaller than 0. 1 um. This author's interpretation is that when basic research efforts have explored technology boundary conditions, the conditions are ripe for a specific generation to begin to coalesce as a unique entity. When a body of research begins to seek compatible materials and processes to enable design and production at the target feature size, the generation life cycle begins. This is a rather diffused activity at first, and it becomes more focused as the cycle proceeds. al Technology Roadmap for Semiconductors lal Technology Roadmap for Semiconductors(NTRS Semiconductor Industry Association(SIA). Focused on mainstream leading edge technology, the roadmap rovides a common vision for the industry. It enables a degree of cooperative precompetitive research and evelopment among the fiercely competitive semiconductor device manufacturers. It is a dynamic document which will be revised and reissued to reflect learning on an as-needed basis. The NTRS is compiled by engineers and scientists from all sectors of the U.S. IC technology base. Indust academia, and government organizations participate in its formulation. Key leaders are the Semiconductor esearch Corporation( SRC)and SEMATECH industry consortia. The roadmap effort is directed by the Roadmap Coordinating Group(RCG)of the SIA. The starting assumption of the NTRS is that Moore's law will continue to describe the growth of the chnology. The overall roadmap comprises many individual roadmaps which address defined critical areas of semiconductor research, development, engineering, and manufacturing. In each area, needs and potential lutions for each technology generation are reviewed. Of course, this process is more definitive for the early generations because knowledge is more complete and the range of alternatives is restricted. The NTRS document provides a convenient summary table which presents some of the salient characteristics of the six technology generations ranging from 1995 to 2010. That summary is reproduced (with minor variations in format)as Table 25.1 TABLE 25.1 Overall Roadmap Technology Characteristics Year of First DRAM Shipment/Minimum Feature (um) 1995/0.351998/0.252001/0.182004/0.132007/0.102010/007 256M Cost/bit volume(millicents 0.0002 Logic(high-volume microprocessor 4M 50M 90M ts/cm(cache SrAm) 50M 100M Cost/transistor volume(millicents) Logic (low-volume ASIC) Transistors/cm (auto layout) 25M 40M Non-recurring engineering 0.1 0.0 0.0l Cost/transistor(millicents Number of chip 1/: Chip to package(pads) high performance 900 1350 2000 2600 3600 Number of package pins/balls 512 1024 ASiC (higl 1100 3000 4000 kage cost(cents/pin ency(Mhz On-chip clock, cost 300 400 On-chip clock, high performance 0 Chip-to-board speed, high performance 640 Microprocesso 250 50 520 c 2000 by CRC Press LLC

© 2000 by CRC Press LLC One should not interpret the 17-year life cycle as meaning that no work is being performed that is relevant to a generation before the 17-year period begins. For example, many organizations are conducting experiments directed at transistors with gate lengths smaller than 0.1 mm. This author’s interpretation is that when basic research efforts have explored technology boundary conditions, the conditions are ripe for a specific generation to begin to coalesce as a unique entity. When a body of research begins to seek compatible materials and processes to enable design and production at the target feature size, the generation life cycle begins. This is a rather diffused activity at first, and it becomes more focused as the cycle proceeds. National Technology Roadmap for Semiconductors The National Technology Roadmap for Semiconductors (NTRS) is an almost 200-page volume distributed by the Semiconductor Industry Association (SIA). Focused on mainstream leading edge technology, the roadmap provides a common vision for the industry. It enables a degree of cooperative precompetitive research and development among the fiercely competitive semiconductor device manufacturers. It is a dynamic document which will be revised and reissued to reflect learning on an as-needed basis. The NTRS is compiled by engineers and scientists from all sectors of the U.S. IC technology base. Industry, academia, and government organizations participate in its formulation. Key leaders are the Semiconductor Research Corporation (SRC) and SEMATECH industry consortia. The roadmap effort is directed by the Roadmap Coordinating Group (RCG) of the SIA. The starting assumption of the NTRS is that Moore’s law will continue to describe the growth of the technology. The overall roadmap comprises many individual roadmaps which address defined critical areas of semiconductor research, development, engineering, and manufacturing. In each area, needs and potential solutions for each technology generation are reviewed. Of course, this process is more definitive for the early generations because knowledge is more complete and the range of alternatives is restricted. The NTRS document provides a convenient summary table which presents some of the salient characteristics of the six technology generations ranging from 1995 to 2010. That summary is reproduced (with minor variations in format) as Table 25.1. TABLE 25.1 Overall Roadmap Technology Characteristics Year of First DRAM Shipment/Minimum Feature (mm) 1995/0.35 1998/0.25 2001/0.18 2004/0.13 2007/0.10 2010/0.07 Memory Bits/chip (DRAM/Flash) 64M 256M 1G 4G 16G 64G Cost/bit @ volume (millicents) 0.017 0.007 0.003 0.001 0.0005 0.0002 Logic (high-volume microprocessor) Logic transistors/cm2 (packed) 4M 7M 13M 25M 50M 90M Bits/cm2 (cache SRAM) 2M 6M 20M 50M 100M 300M Cost/transistor @ volume (millicents) 1 0.5 0.2 0.1 0.05 0.02 Logic (low-volume ASIC) Transistors/cm2 (auto layout) 2M 4M 7M 12M 25M 40M Non-recurring engineering 0.3 0.1 0.05 0.03 0.02 0.01 Cost/transistor (millicents) Number of chip I/Os Chip to package (pads) high performance 900 1350 2000 2600 3600 4800 Number of package pins/balls Microprocessor/controller 512 512 512 512 800 1024 ASIC (high performance) 750 1100 1700 2200 3000 4000 Package cost (cents/pin) 1.4 1.3 1.1 1.0 0.9 0.8 Chip frequency (MHz) On-chip clock, cost performance 150 200 300 400 500 625 On-chip clock, high performance 300 450 600 800 1000 1100 Chip-to-board speed, high performance 150 200 250 300 375 475 Chip size (mm2 ) DRAM 190 280 420 640 960 1400 Microprocessor 250 300 360 430 520 620

TABLE 25.1(cor d) Overall roadma ap Technology Characterist Year of First DRAM Shipment/Minimum Feature (um) 995/0.351998/0.252001/0.182004/0.132007/0.102010/0.07 ASIC 1100 Max number wiring levels (log On-chi 4-5 Electrical defect density(d/m) 240 Minimum mask count Cycle time days(theoretical) Maximum substrate diameter(mm) Bulk or epitaxial or SOI wafer 300 Power supply voltage (v) 25 1.8 l8-2.50.9-1.80.9 Maximum power High performance with heatsink (W) Logic without heatsink(w Design and test Volume tester cost/pi 3. 1.3 0.4 Number of test vector 16-32 16-32 IC function with Related Topics Further information The NTRS is available from the SIA, 181 Metro Drive, Suite 450, San Jose, CA 95110, telephone 408-436-6600, fax 408-436-6646.ThedocumentcanalsobeaccessedviatheSemAteChhomepageat Information concerning the IC life cycle can be found in Larrabee, G. B and Chatterjee, P"DRAM Manu facturing in the 90s--Part 1: The History Lesson"and"Part 2: The Roadmap, "Semiconductor International Pp.8492,May1991 25.2 Layout, Placement, and routing Mehdi r. Zargham and Spyros tragoudas Very large scale integrated(VLSI)electronics presents a challenge, not only to those involved in the development of fabrication technology, but also to computer scientists, computer engineers, and electrical engineers. The ways in which digital systems are structured, the procedures used to design them, the trade-offs between hardware and software, and the design of computational algorithms will all be greatly affected by the coming hanges in integrated electronics A VLSI chip can today contain millions of transistors and is expected to contain more than 100 million transistors in the year 2000. One of the main factors contributing to this increase is the effort that has been invested in the development of computer-aided design( CAD) systems for VLSI design. The VLSI CAD systems are able to simplify the design process by hiding the low-level circuit theory and device physics details from the designer, and allowing him or her to concentrate on the functionality of the design and on ways of optimizing it. A VLSI CAD system supports descriptions of hardware at many levels of abstraction, such as system, absystem, register, gate, circuit, and layout levels. It allows designers to design a hardware device at an abstract level and progressively work down to the layout level. A layout is a complete geometric representation(a set of rectangles) from which the latest fabrication technologies directly produce reliable, working chips. A VLSI c 2000 by CRC Press LLC

© 2000 by CRC Press LLC Related Topics 1.1 Resistors • 23.1 Processes Further Information The NTRS is available from the SIA, 181 Metro Drive, Suite 450, San Jose, CA 95110, telephone 408-436-6600, fax 408-436-6646. The document can also be accessed via the SEMATECH home page at . Information concerning the IC life cycle can be found in Larrabee, G. B. and Chatterjee, P. “DRAM Manu￾facturing in the 90’s — Part 1: The History Lesson” and “Part 2: The Roadmap,” Semiconductor International, pp. 84–92, May 1991. 25.2 Layout, Placement, and Routing Mehdi R. Zargham and Spyros Tragoudas Very large scale integrated (VLSI) electronics presents a challenge, not only to those involved in the development of fabrication technology, but also to computer scientists, computer engineers, and electrical engineers. The ways in which digital systems are structured, the procedures used to design them, the trade-offs between hardware and software, and the design of computational algorithms will all be greatly affected by the coming changes in integrated electronics. A VLSI chip can today contain millions of transistors and is expected to contain more than 100 million transistors in the year 2000. One of the main factors contributing to this increase is the effort that has been invested in the development of computer-aided design (CAD) systems for VLSI design. The VLSI CAD systems are able to simplify the design process by hiding the low-level circuit theory and device physics details from the designer, and allowing him or her to concentrate on the functionality of the design and on ways of optimizing it. A VLSI CAD system supports descriptions of hardware at many levels of abstraction, such as system, subsystem, register, gate, circuit, and layout levels. It allows designers to design a hardware device at an abstract level and progressively work down to the layout level. A layout is a complete geometric representation (a set of rectangles) from which the latest fabrication technologies directly produce reliable, working chips. A VLSI ASIC 450 660 750 900 1100 1400 Max number wiring levels (logic) On-chip 4–5 5 5–6 6 6–7 7–8 Electrical defect density (d/m2 ) 240 160 140 120 100 25 Minimum mask count 18 20 20 22 22 24 Cycle time days (theoretical) 9 10 10 11 11 12 Maximum substrate diameter (mm) Bulk or epitaxial or SOI wafer 200 200 300 300 400 400 Power supply voltage (V) Desktop 3.3 2.5 1.8 1.5 1.2 0.9 Battery 2.5 1.8–2.5 0.9–1.8 0.9 0.9 0.9 Maximum power High performance with heatsink (W) 80 100 120 140 160 180 Logic without heatsink (W) 5 7 10 10 10 10 Battery (W) 2.5 2.5 3.0 3.5 4.0 4.5 Design and test Volume tester cost/pin ($K) 3.3 1.7 1.3 0.7 0.5 0.4 Number of test vectors (mP/M) 16–32 16–32 16–32 8–16 4–8 4 % IC function with BIST/DFT 25 40 50 70 90 90+ TABLE 25.1 (continued) Overall Roadmap Technology Characteristics Year of First DRAM Shipment/Minimum Feature (mm) 1995/0.35 1998/0.25 2001/0.18 2004/0.13 2007/0.10 2010/0.07

n-diffusion p-diffusion metal metal-2 FIGURE 25.4 Different layers. CAD system also supports verification, synthesis, and testing of the design. Using a CAD system, the designer can make sure that all of the parts work before actually implementing the design A variety of VLSI CAD systems are commercially available that perform all or some of the levels of abstraction of design. Most of these systems support a layout editor for designing a circuit layout. A layout-editor is software that provides commands for drawing lines and boxes, copying objects, moving objects, erasing unwanted objects, and so on. The output of such an editor is a design file that describes the layout. Usually, the design file is represented in a standard format, called Caltech Intermediate Form(CIF), which is accepted by the fabrication industr What Is layout? For a specific circuit, a layout specifies the position and dimension of the different layers of materials as they would be laid on the silicon wafer. However, the layout description is only a symbolic representation, which implifies the description of the actual fabrication process. For example, the layout representation does not explicitly indicate the thickness of the layers, thickness of oxide coating, amount of ionization in the transistors channels, etc., but these factors are implicitly understood in the fabrication process. Some of the main layers used in any layout description are n-diffusion, p-diffusion, poly, metal-l, and metal-2. Each of these layers is represented by a polygon of a particular color or pattern. As an example, Fig 25.4 presents a specific pattern for each layer that will be used through the rest of this section. As is shown in Fig. 25.5, an n-diffusion layer crossing a poly layer implies an nMOS transistor, and a P-diffusion crossing poly implies a pMOS transistor. Note that the widths of diffusion and poly are represented with a scalable parameter called lambda. These measurements, referred to as design rules, are introduced to prevent errors on the chip, such as preventing thin lines from opening(disconnecting)and short circuiting p-diffusion (before fabrication) (before fabrication) silicon oxide D-diffusion n-diffusion cross-sectional view arte (after fabrication) 9 -nMos transistor b- pMOS transistor FIGURE 25.5 Layout and fabrication of MOS transistors. c 2000 by CRC Press LLC

© 2000 by CRC Press LLC CAD system also supports verification, synthesis, and testing of the design. Using a CAD system, the designer can make sure that all of the parts work before actually implementing the design. A variety of VLSI CAD systems are commercially available that perform all or some of the levels of abstraction of design. Most of these systems support a layout editor for designing a circuit layout.A layout-editor is software that provides commands for drawing lines and boxes, copying objects, moving objects, erasing unwanted objects, and so on. The output of such an editor is a design file that describes the layout. Usually, the design file is represented in a standard format, called Caltech Intermediate Form (CIF), which is accepted by the fabrication industry. What Is Layout? For a specific circuit, a layout specifies the position and dimension of the different layers of materials as they would be laid on the silicon wafer. However, the layout description is only a symbolic representation, which simplifies the description of the actual fabrication process. For example, the layout representation does not explicitly indicate the thickness of the layers, thickness of oxide coating, amount of ionization in the transistors channels, etc., but these factors are implicitly understood in the fabrication process. Some of the main layers used in any layout description are n-diffusion, p-diffusion, poly, metal-1, and metal-2. Each of these layers is represented by a polygon of a particular color or pattern. As an example, Fig. 25.4 presents a specific pattern for each layer that will be used through the rest of this section. As is shown in Fig. 25.5, an n-diffusion layer crossing a poly layer implies an nMOS transistor, and a p-diffusion crossing poly implies a pMOS transistor. Note that the widths of diffusion and poly are represented with a scalable parameter called lambda. These measurements, referred to as design rules, are introduced to prevent errors on the chip, such as preventing thin lines from opening (disconnecting) and short circuiting. FIGURE 25.4 Different layers. FIGURE 25.5 Layout and fabrication of MOS transistors

n-diffusion mask poly mask cross-sectional view FIGURE 25.6 Fabrication steps for an nMOS transistor. Implementing the design rules based on lambda makes the design process independent of the fabrication process. This allows the design to be rescaled as the fabrication process improves Metal layers are used as wires for connections between the components. This is because metal has the lowest propagation delay compared to the other layers. However, sometimes a poly layer is also used for short wires in order to reduce the complexity of the wire routing. Any wire can cross another wire without getting electricall affected as long as they are in different layers. Two different layers can be electrically connected together using contacts. The fabrication process of the contacts depends on types of the layers that are to be connected. Therefore, a layout editor supports different types of contacts by using different patterns From the circuit layout, the actual chip is fabricated. Based on the layers in the layout, various layers of materials,one on top of the others, are laid down on a silicon wafer. Typically, the processing of laying down each of these materials involves several steps, such as masking, oxide coating, lithography and etching [Mead and Conway, 1980]. For example, as shown in Fig. 25.6(a), for fabricating an nMOS transistor, first two mask one for poly and one for n-diffusion, are obtained from the circuit layout. Next, the n-diffusion mask is used to create a layer of silicon oxide on the wafer [see Fig. 25. 6(b). The wafer will be covered with a thin layer of oxide in places where the transistors are supposed to be placed as opposed to a thick layer in other places. The poly mask is used to place a layer of polysilicon on top of the oxide layer to define the gate terminals of the transistor [see Fig. 25.6(c)). Finally, the n-diffusion regions are made to form the source and drain terminals of the transistor [see Fig. 25.6(d)] To better illustrate the concept of layout design, the design of an inverter in the Cmos technology is shown in Fig. 25.7. An inverter produces an output voltage that is the logical inverse of its input. Considering the circuit diagram of Fig. 25.7(a), when the input is 1, the lower nMOS is on, but the upper pMOS is off. Thus, the output becomes o by becoming connected to the ground through the nMOS. On the other hand, if the input is 0, the pMOS is on and the nMOS is off, so the output must find a charge-up path through the pMOS to the supply and therefore becomes 1. Figure 25.7(b)represents a layout for such an inverter. As can be seen from this figure, the problem of a layout design is essentially reduced to drawing and painting a set of polygons. Layout editors provide commands for drawing such polygons. The commands are usually entered at the keyboard or with a mouse and, in some menu-driven packages, can be selected as options from a pull-down menu. c 2000 by CRC Press LLC

© 2000 by CRC Press LLC Implementing the design rules based on lambda makes the design process independent of the fabrication process. This allows the design to be rescaled as the fabrication process improves. Metal layers are used as wires for connections between the components. This is because metal has the lowest propagation delay compared to the other layers. However, sometimes a poly layer is also used for short wires in order to reduce the complexity of the wire routing.Any wire can cross another wire without getting electrically affected as long as they are in different layers. Two different layers can be electrically connected together using contacts. The fabrication process of the contacts depends on types of the layers that are to be connected. Therefore, a layout editor supports different types of contacts by using different patterns. From the circuit layout, the actual chip is fabricated. Based on the layers in the layout, various layers of materials, one on top of the others, are laid down on a silicon wafer. Typically, the processing of laying down each of these materials involves several steps, such as masking, oxide coating, lithography and etching [Mead and Conway, 1980]. For example, as shown in Fig. 25.6(a), for fabricating an nMOS transistor, first two masks, one for poly and one for n-diffusion, are obtained from the circuit layout. Next, the n-diffusion mask is used to create a layer of silicon oxide on the wafer [see Fig. 25.6(b)]. The wafer will be covered with a thin layer of oxide in places where the transistors are supposed to be placed as opposed to a thick layer in other places. The poly mask is used to place a layer of polysilicon on top of the oxide layer to define the gate terminals of the transistor [see Fig. 25.6(c)]. Finally, the n-diffusion regions are made to form the source and drain terminals of the transistor [see Fig. 25.6(d)]. To better illustrate the concept of layout design, the design of an inverter in the CMOS technology is shown in Fig. 25.7. An inverter produces an output voltage that is the logical inverse of its input. Considering the circuit diagram of Fig. 25.7(a), when the input is 1, the lower nMOS is on, but the upper pMOS is off. Thus, the output becomes 0 by becoming connected to the ground through the nMOS. On the other hand, if the input is 0, the pMOS is on and the nMOS is off, so the output must find a charge-up path through the pMOS to the supply and therefore becomes 1. Figure 25.7(b) represents a layout for such an inverter. As can be seen from this figure, the problem of a layout design is essentially reduced to drawing and painting a set of polygons. Layout editors provide commands for drawing such polygons. The commands are usually entered at the keyboard or with a mouse and, in some menu-driven packages, can be selected as options from a pull-down menu. FIGURE 25.6 Fabrication steps for an nMOS transistor

pMOS output (e)Circuit diogram FIGURE 25.7 An inverter. net 4 FIGURE 25.8 Placement and routing In addition to the drawing commands, often a layout system provides tools for minimizing the overall area of the layout(i. e, size of the chip). Today a VLSI chip consists of a lot of individual cells, with each one laid out separately. A cell can be an inverter, a NAND gate, a multiplier, a memory unit, etc. The designer can make the layout of a cell and then store it in a file called the cell library. Later, each time the designer wants to design a circuit that requires the stored cell, he or she simply copies the layout from the cell library. A layout may consist of many cells. Most of the layout systems provide routines, called floorplanning, placement and routing routines, for placing the cells and then interconnecting them with wires in such a way that minimizes the layout area. As an example, Fig 25.8 presents the placement of three cells. The area between the cells is used for op uting. The entire routing surface is divided into a set of rectangular routing areas called channels. The sides each channel consist of a set of terminals. A wire that connects the terminals with the same id is called a net. The router finds a location for the wire segments of each net within the channel. The following sections classify various types of placement and routing techniques and provide an overview of the main steps of some Floorplanning Techniques The floorplanning problem in Computer Aided Design of Integrated Circuits is similar to that in Architecture and the goal is to find a location for each cell based on proximity (layout adjacency) criteria to other cells. we c 2000 by CRC Press LLC

© 2000 by CRC Press LLC In addition to the drawing commands, often a layout system provides tools for minimizing the overall area of the layout (i.e., size of the chip). Today a VLSI chip consists of a lot of individual cells, with each one laid out separately. A cell can be an inverter, a NAND gate, a multiplier, a memory unit, etc. The designer can make the layout of a cell and then store it in a file called the cell library. Later, each time the designer wants to design a circuit that requires the stored cell, he or she simply copies the layout from the cell library. A layout may consist of many cells. Most of the layout systems provide routines, called floorplanning, placement and routing routines, for placing the cells and then interconnecting them with wires in such a way that minimizes the layout area. As an example, Fig. 25.8 presents the placement of three cells. The area between the cells is used for routing. The entire routing surface is divided into a set of rectangular routing areas called channels. The sides of each channel consist of a set of terminals. A wire that connects the terminals with the same ID is called a net. The router finds a location for the wire segments of each net within the channel. The following sections classify various types of placement and routing techniques and provide an overview of the main steps of some of these techniques. Floorplanning Techniques The floorplanning problem in Computer Aided Design of Integrated Circuits is similar to that in Architecture and the goal is to find a location for each cell based on proximity (layout adjacency) criteria to other cells. We FIGURE 25.7 An inverter. FIGURE 25.8 Placement and routing

7 FIGURE 25.9 A hierarchical floorplan and its associated tree. The root node has degree 5. The internal node labeled with I indicates a vertical slicing. The internal node labeled with -indicates a horizontal slicing 1234 FIGURE 25.10 a sliceable floorplan and its associated binary tree consider rectangular floorplans whose boundaries are rectangles. It is desirable to obtain a floorplan that minimizes the overall area of the layout An important goal in floorplanning is the cell sizing problem where the goal is to determine the dimensions of variable cells whose area is invariant. All cells are assumed to be rectangular, and in the cell sizing problem the goal is to determine the width and height of each cell subject to predetermined upper and lower bounds on their ratio, and to their product being equal to its area, so that the final floorplan has optimal area. One of the early approaches in floorplanning is the hierarchical, where recursive bipartition or partition into more than two parts is recursively employed and a floorplan tree is constructed. The tree simply reflects the hierarchical construction of the floorplan. Figure 25.9 shows a hierarchical floorplan and its associated tree The partitioning problem and related algorithms are discussed extensively later in this section. Many early hierarchical floorplanning tools insist that the floorplan be sliceable. a sliceable floorplan is recursively defined as follows: (a)a cell or(b)a floorplan that can be bipartitioned into two sliceable floorplans with either a horizontal or vertical line. Figure 25 10 shows a sliceable floorplan whose tree is binary Many tools that produce sliceable floorplans are still in use because of their mplicity. In particular, many problems arising in sliceable floorplanning are solv able optimally in polynomial time [Sarrafzadeh and Wong, 1996]. Unfortunately, sliceable floorplans are rarely optimal (in terms of their area), and they often result in layouts with very difficult routing phases.( Routing is discussed later in this section.) Figure 25 11 shows a compact floorplan that is not sliceable. Hierarchical tools that produce nonsliceable floorplans have also been proposed [Sarrafzadeh and Wong, 1996]. The major problem in the development of such tools is that we are often facing problems that are intractable and thus we have to FIGURE 25.11 A com problem can be tackled optimally in sliceable floorplans [Otten, 1983 and Stock- sliceable ut that is not ly on heuristics in order to obtain fast solutions. For example, the cell sizing pact layo meyer,1983] but the problem is intractable for general nonsliceable floorplans A second approach to floorplanning is the rectangular dual graph. The idea here is to use duality arguments and express the cell adjacency constraints in terms of a graph, and then use an algorithm to translate the graph into a rectangular floorplan. A rectangular dual graph of a rectangular floorplan is a planar graph G=(VE) where V is the set of cells and E is the set of edges, and an edge(Cnc )is in E if and only if cells Ci and cz are adjacent in the floorplan. See Fig. 25. 12 for a rectangular floorplan and its rectangular dual graph G

© 2000 by CRC Press LLC consider rectangular floorplans whose boundaries are rectangles. It is desirable to obtain a floorplan that minimizes the overall area of the layout. An important goal in floorplanning is the cell sizing problem where the goal is to determine the dimensions of variable cells whose area is invariant. All cells are assumed to be rectangular, and in the cell sizing problem the goal is to determine the width and height of each cell subject to predetermined upper and lower bounds on their ratio, and to their product being equal to its area, so that the final floorplan has optimal area. One of the early approaches in floorplanning is the hierarchical, where recursive bipartition or partition into more than two parts is recursively employed and a floorplan tree is constructed. The tree simply reflects the hierarchical construction of the floorplan. Figure 25.9 shows a hierarchical floorplan and its associated tree. The partitioning problem and related algorithms are discussed extensively later in this section. Many early hierarchical floorplanning tools insist that the floorplan be sliceable. A sliceable floorplan is recursively defined as follows: (a) a cell or (b) a floorplan that can be bipartitioned into two sliceable floorplans with either a horizontal or vertical line. Figure 25.10 shows a sliceable floorplan whose tree is binary. Many tools that produce sliceable floorplans are still in use because of their simplicity. In particular, many problems arising in sliceable floorplanning are solv￾able optimally in polynomial time [Sarrafzadeh and Wong, 1996]. Unfortunately, sliceable floorplans are rarely optimal (in terms of their area), and they often result in layouts with very difficult routing phases. (Routing is discussed later in this section.) Figure 25.11 shows a compact floorplan that is not sliceable. Hierarchical tools that produce nonsliceable floorplans have also been proposed [Sarrafzadeh and Wong, 1996]. The major problem in the development of such tools is that we are often facing problems that are intractable and thus we have to rely on heuristics in order to obtain fast solutions. For example, the cell sizing problem can be tackled optimally in sliceable floorplans [Otten, 1983 and Stock￾meyer, 1983] but the problem is intractable for general nonsliceable floorplans. A second approach to floorplanning is the rectangular dual graph. The idea here is to use duality arguments and express the cell adjacency constraints in terms of a graph, and then use an algorithm to translate the graph into a rectangular floorplan. A rectangular dual graph of a rectangular floorplan is a planar graph G = (V,E), where V is the set of cells and E is the set of edges, and an edge (C1,C2) is in E if and only if cells C1 and C2 are adjacent in the floorplan. See Fig. 25.12 for a rectangular floorplan and its rectangular dual graph G. FIGURE 25.9 A hierarchical floorplan and its associated tree. The root node has degree 5. The internal node labeled with | indicates a vertical slicing. The internal node labeled with — indicates a horizontal slicing. FIGURE 25.10 A sliceable floorplan and its associated binary tree. FIGURE 25.11 A com￾pact layout that is not sliceable

FIGURE 25.12 A rectangular floorplan and its associated dual planer graph → FIGURE 25. 13 A cross junction can be replaced by 2 T-junctions FIGURE 25. 14 For a cycle of size 3 that is not a face we cannot satisfy all constraints. Let us assume that the floorplan does not contain cross junctions. Figure 25. 13 shows a cross junction. This restriction does not significantly increase the area of a floorplan because, as Fig. 25. 13 shows, a cross junction can be replaced by two T-junctions by simply adding a short edge e It has been shown that in the absence of cross junctions the dual graph is planar triangulated(PT),and every T-junction corresponds to a triangulated face of the dual Pt graph. Unfortunately, not all Pt graph have a rectangular floorplan. For example, in the graph of Fig. 25. 14 we cannot satisfy the adjacency require ments of edges(a, b),(b, c)and(c, a) at the same time. Note that the later edges form a cycle of length three that is not a face. It has been shown that a Pt graph has a rectangular floorplan if and only if it does not contain such cycles of length three. Moreover, a linear time algorithm to obtain such a floorplan has been presented [Sarrafzadeh and Wong, 1996]. The rectangular dual graph approach is a new method for floorplan ning, and many floorplanning problems, such as the sizing problem, have not been tackled yet Rectangular floorplans can be obtained using simulated annealing and genetic algorithms. Both techniques are used to solve general optimization problems for which the solution space is not well understood. The approaches are easy to implement, but the algorithms have many parameters which require empirical adjust ments,and the results are usually unpredictable A final approach to floorplanning, which unfortunately requires substantial computational resources and results to an intractable problem, is to formulate the problem as a mixed-integer linear programming(LP) Consider the following definitions Wi H R, width, height and area of cell C X,Y coordinates of lower left corner of cell Ci he width and height of the final flo A, B, lower and upper bound for the ratio W /H, of cell Ci Pip Qi variables that take o/1 values for each pair of cells C and Ci The goal is to find X, Y;, W; and H, for each cell so that all constraints are satisfied and XY is minimized The latter is a nonlinear constraint. However, we can fix the width w and minimize the height of the floorplan c 2000 by CRC Press LLC

© 2000 by CRC Press LLC Let us assume that the floorplan does not contain cross junctions. Figure 25.13 shows a cross junction. This restriction does not significantly increase the area of a floorplan because, as Fig. 25.13 shows, a cross junction can be replaced by two T-junctions by simply adding a short edge e. It has been shown that in the absence of cross junctions the dual graph is planar triangulated (PT), and every T-junction corresponds to a triangulated face of the dual PT graph. Unfortunately, not all PT graphs have a rectangular floorplan. For example, in the graph of Fig. 25.14 we cannot satisfy the adjacency require￾ments of edges (a,b), (b,c) and (c,a) at the same time. Note that the later edges form a cycle of length three that is not a face. It has been shown that a PT graph has a rectangular floorplan if and only if it does not contain such cycles of length three. Moreover, a linear time algorithm to obtain such a floorplan has been presented [Sarrafzadeh and Wong, 1996]. The rectangular dual graph approach is a new method for floorplan￾ning, and many floorplanning problems, such as the sizing problem, have not been tackled yet. Rectangular floorplans can be obtained using simulated annealing and genetic algorithms. Both techniques are used to solve general optimization problems for which the solution space is not well understood. The approaches are easy to implement, but the algorithms have many parameters which require empirical adjust￾ments, and the results are usually unpredictable. A final approach to floorplanning, which unfortunately requires substantial computational resources and results to an intractable problem, is to formulate the problem as a mixed-integer linear programming (LP). Consider the following definitions: Wi ,Hi ,Ri : width, height and area of cell Ci Xi ,Yi : coordinates of lower left corner of cell Ci X,Y : the width and height of the final floorplan Ai ,Bi : lower and upper bound for the ratio Wi /Hi of cell Ci Pij, Qij : variables that take 0/1 values for each pair of cells Ci and Cj The goal is to find Xi ,Yi ,Wi , and Hi for each cell so that all constraints are satisfied and XY is minimized. The latter is a nonlinear constraint. However, we can fix the width W and minimize the height of the floorplan as follows: FIGURE 25.12 A rectangular floorplan and its associated dual planer graph. FIGURE 25.13 A cross junction can be replaced by 2 T-junctions. FIGURE 25.14 For a cycle of size 3 that is not a face we cannot satisfy all constraints

点击下载完整版文档(PDF)VIP每日下载上限内不扣除下载券和下载次数;
按次数下载不扣除下载券;
24小时内重复下载只扣除一次;
顺序:VIP每日次数-->可用次数-->下载券;
共34页,可试读12页,点击继续阅读 ↓↓
相关文档

关于我们|帮助中心|下载说明|相关软件|意见反馈|联系我们

Copyright © 2008-现在 cucdc.com 高等教育资讯网 版权所有