当前位置:高等教育资讯网  >  中国高校课件下载中心  >  大学文库  >  浏览文档

宿州学院:《数字电子技术》实验指导书

资源类别:文库,文档格式:DOC,文档页数:60,文件大小:0.99MB,团购合买
目录 第一部分实验基础知识 一.实验的基本过程 二.实验操作规范和故障检查方法 三数字集成电路概述、特点及使用须知 四.数字逻辑电路的测试方法
点击下载完整版文档(DOC)

《数字电子技术》实验 指导书 曹吉花梁艳编 宿州学院物理与电子工程系

1 《数字电子技术》实验 指导书 曹吉花 梁 艳 编 宿州学院物理与电子工程系

目录 第一部分实验基础知识 实验的基本过程 实验操作规范和故障检查方法 数字集成电路概述、特点及使用须知 四.数字逻辑电路的测试方法 第二部分基础性实验 实验一集成逻辑门电路逻辑功能的测试 实验二继承逻辑门电路的参数测试 实验三组合逻辑电路的实验分析 实验四数据选择器 实验五触发器 实验六计数器 实验七中规模集成电路计数器的应用 实验八计数、译码、显示综合实验 实验九利用TTL集成逻辑门构成脉冲电路 实验十555时基电路 第三部分设计性实验 实验一简易数字控制电路

2 目 录 第一部分 实验基础知识 一.实验的基本过程 二.实验操作规范和故障检查方法 三.数字集成电路概述、特点及使用须知 四.数字逻辑电路的测试方法 第二部分 基础性实验 实验一 集成逻辑门电路逻辑功能的测试 实验二 继承逻辑门电路的参数测试 实验三 组合逻辑电路的实验分析 实验四 数据选择器 实验五 触发器 实验六 计数器 实验七 中规模集成电路计数器的应用 实验八 计数、译码、显示综合实验 实验九 利用 TTL 集成逻辑门构成脉冲电路 实验十 555 时基电路 第三部分 设计性实验 实验一 简易数字控制电路

实验二简易数字计时电路 实验三电梯楼层显示电路 实验四循环灯电路 实验五数字电子技术课程设计一数字钟的设计

3 实验二 简易数字计时电路 实验三 电梯楼层显示电路 实验四 循环灯电路 实验五 数字电子技术课程设计-数字钟的设计

第一部分实验基础知识 随着科学技术的发展,脉冲与数字技术在各个科学领域中都得到了广泛的 应用,它是一门实践性很强的技术基础课,在学习中不仅要掌握基本原理和基 本方法,更重要的是学会灵活应用。因此,需要配有一定数量的实验,才能掌 握这门课程的基本内容,熟悉各单元电路的工作原理,各集成器件的逻辑功能 和使用方法,从而有效地培养学生理论联系实际和解决实际问题的能力,树立 科学的工作作风 一,实验的基本过程 实验的基本过程,应包括确定实验内容,选定最佳的实验方法和实验线路 拟出较好的实验步骤,合理选择仪器设备和元器件,进行连接安装和调试,最 后写出完整的实验报告。 在进行数字电路实验时,充分掌握和正确利用集成元件及其构成的数字电 路独有的特点和规律,可以收到事半功倍的效果,对于完成每一个实验,应做 好实验预习,实验记录和实验报告等环节。 (一)实验预习 认真预习是做好实验的关键,预习好坏,不仅关系到实验能否顺利进行, 而且直接影响实验效果,预习应按本教材的实验预习要求进行,在每次实验前 首先要认真复习有关实验的基本原理,掌握有关器件使用方法,对如何着手实 验做到心中有数,通过预习还应做好实验前的准备,写出一份预习报告,其内 容包括: 1.绘出设计好的实验电路图,该图应该是逻辑图和连线图的混合,既便于 连接线,又反映电路原理,并在图上标出器件型号、使用的引脚号及元件数值 必要时还须用文字说明 2.拟定实验方法和步骤。 3.拟好记录实验数据的表格和波形座标 4.列出元器件单 (二)实验记录

4 第一部分 实 验 基 础 知 识 随着科学技术的发展,脉冲与数字技术在各个科学领域中都得到了广泛的 应用,它是一门实践性很强的技术基础课,在学习中不仅要掌握基本原理和基 本方法,更重要的是学会灵活应用。因此,需要配有一定数量的实验,才能掌 握这门课程的基本内容,熟悉各单元电路的工作原理,各集成器件的逻辑功能 和使用方法,从而有效地培养学生理论联系实际和解决实际问题的能力,树立 科学的工作作风。 一.实验的基本过程 实验的基本过程,应包括确定实验内容,选定最佳的实验方法和实验线路, 拟出较好的实验步骤,合理选择仪器设备和元器件,进行连接安装和调试,最 后写出完整的实验报告。 在进行数字电路实验时,充分掌握和正确利用集成元件及其构成的数字电 路独有的特点和规律,可以收到事半功倍的效果,对于完成每一个实验,应做 好实验预习,实验记录和实验报告等环节。 (一) 实验预习 认真预习是做好实验的关键,预习好坏,不仅关系到实验能否顺利进行, 而且直接影响实验效果,预习应按本教材的实验预习要求进行,在每次实验前 首先要认真复习有关实验的基本原理,掌握有关器件使用方法,对如何着手实 验做到心中有数,通过预习还应做好实验前的准备,写出一份预习报告,其内 容包括: 1. 绘出设计好的实验电路图,该图应该是逻辑图和连线图的混合,既便于 连接线,又反映电路原理,并在图上标出器件型号、使用的引脚号及元件数值, 必要时还须用文字说明。 2.拟定实验方法和步骤。 3.拟好记录实验数据的表格和波形座标。 4.列出元器件单。 (二) 实验记录

实验记录是实验过程中获得的第一手资料,测试过程中所测试的数据和波 形必须和理论基本一致,所以记录必须清楚、合理、正确,若不正确,则要现 场及时重复测试,找出原因。实验记录应包括如下内容 1.实验任务、名称及内容。 2.实验数据和波形以及实验中出现的现象,从记录中应能初步判断实验的 正确性。 3.记录波形时,应注意输入、输出波形的时间相位关系,在座标中上下对 4.实验中实际使用的仪器型号和编号以及元器件使用情况。 (三)实验报告 实验报告是培养学生科学实验的总结能力和分析思维能力的有效手段,也 是一项重要的基本功训练,它能很好地巩固实验成果,加深对基本理论的认识 和理解,从而进一步扩大知识面。 实验报告是一份技术总结,要求文字简洁,内容清楚,图表工整。报告内 容应包括实验目的、实验内容和结果、实验使用仪器和元器件以及分析讨论等, 其中实验内容和结果是报告的主要部分,它应包括实际完成的全部实验,并且 要按实验任务逐个书写,每个实验任务应有如下内容 1.实验课题的方框图、逻辑图(或测试电路)、状态图,真值表以及文字说 明等,对于设计性课题,还应有整个设计过程和关键的设计技巧说明。 2.实验记录和经过整理的数据、表格、曲线和波形图,其中表格、曲线和 波形图应充分利用专用实验报告简易座标格,并且三角板、曲线板等工具描绘, 力求画得准确,不得随手示意画出。 3.实验结果分析、讨论及结论,对讨论的范围,没有严格要求,一般应对 重要的实验现象,结论加以讨论,以使进一步加深理解,此外,对实验中的异 常现象,可作一些简要说明,实验中有何收获,可谈一些心得体会。 二,实验中操作规范和常见故障检查方法 实验中操作的正确与否对实验结果影响甚大。因些,实验者需要注意按以 下规程进行。 1.搭接实验电路前,应对仪器设备进行必要的检查校准,对所用集成电路

5 实验记录是实验过程中获得的第一手资料,测试过程中所测试的数据和波 形必须和理论基本一致,所以记录必须清楚、合理、正确,若不正确,则要现 场及时重复测试,找出原因。实验记录应包括如下内容: 1. 实验任务、名称及内容。 2. 实验数据和波形以及实验中出现的现象,从记录中应能初步判断实验的 正确性。 3. 记录波形时,应注意输入、输出波形的时间相位关系,在座标中上下对 齐。 4. 实验中实际使用的仪器型号和编号以及元器件使用情况。 (三) 实验报告 实验报告是培养学生科学实验的总结能力和分析思维能力的有效手段,也 是一项重要的基本功训练,它能很好地巩固实验成果,加深对基本理论的认识 和理解,从而进一步扩大知识面。 实验报告是一份技术总结,要求文字简洁,内容清楚,图表工整。报告内 容应包括实验目的、实验内容和结果、实验使用仪器和元器件以及分析讨论等, 其中实验内容和结果是报告的主要部分,它应包括实际完成的全部实验,并且 要按实验任务逐个书写,每个实验任务应有如下内容: 1.实验课题的方框图、逻辑图(或测试电路)、状态图,真值表以及文字说 明等,对于设计性课题,还应有整个设计过程和关键的设计技巧说明。 2.实验记录和经过整理的数据、表格、曲线和波形图,其中表格、曲线和 波形图应充分利用专用实验报告简易座标格,并且三角板、曲线板等工具描绘, 力求画得准确,不得随手示意画出。 3.实验结果分析、讨论及结论,对讨论的范围,没有严格要求,一般应对 重要的实验现象,结论加以讨论,以使进一步加深理解,此外,对实验中的异 常现象,可作一些简要说明,实验中有何收获,可谈一些心得体会。 二.实验中操作规范和常见故障检查方法 实验中操作的正确与否对实验结果影响甚大。因些,实验者需要注意按以 下规程进行。 1.搭接实验电路前,应对仪器设备进行必要的检查校准,对所用集成电路

进行功能测试 2.搭接电路时,应遵循正确的布线原则和操作步骤(即要按照先接线后通 电,做完后,先断电再拆线的步骤)。 3.掌握科学的调试方法,有效地分析并检査故障,以确保电路工作稳定可 靠 4仔细观察实验现象,完整准确地记录实验数据并与理论值进行比较分析。 5.实验完毕,经指导教师同意后,可关断电源拆除连线,整理好放在实验 箱内,并将实验台清理干净、摆放整洁。 布线原则和故障检查时实验操作的重要问题。 (一).布线原则:应便于检查,排除故障和更换器件。 在数字电路实验中,有错误布线引起的故障,常占很大比例。布线错误不 仅会引起电路故障,严重时甚至会损坏器件,因此,注意布线的合理性和科学 性是十分必要的,正确的布线原则大致有以下几点: 1.接插集成电路时,先校准两排引脚,使之与实验底板上的插孔对应,轻 轻用力将电路插上,然后在确定引脚与插孔完全吻合后,再稍用力将其插紧, 以免集成电路的引脚弯曲,折断或者接触不良 2.不允许将集成电路方向插反,一般IC的方向是缺口(或标记)朝左,引 脚序号从左下方的第一个引脚开始,按逆时钟方向依次递增至左上方的第一个 引脚。 3.导线应粗细适当,一般选取直径为0.6~0.8mm的单股导线,最好采用各 种色线以区别不同用途,如电源线用红色,地区用黑色笔。 4.布线应有秩序地进行,随意乱接容易造成漏接错接,较好的方法是接好 固定电平点,如电源线、地线、门电路闲置输入端、触发器异步置位复位端等, 其次,在按信号源的顺序从输入到输出依次布线 连线应避免过长,避免从集成元件上方跨接,避免过多的重叠交错,以 利于布线、更换元器件以及故障检査和排除。 6.当实验电路的规模较大时,应注意集成元器件的合理布局,以便得到最 佳布线,布线时,顺便对单个集成元件进行功能测试。这是一种良好的习惯, 实际上这样做不会增加布线工作量

6 进行功能测试。 2.搭接电路时,应遵循正确的布线原则和操作步骤(即要按照先接线后通 电,做完后,先断电再拆线的步骤)。 3.掌握科学的调试方法,有效地分析并检查故障,以确保电路工作稳定可 靠。 4.仔细观察实验现象,完整准确地记录实验数据并与理论值进行比较分析。 5.实验完毕,经指导教师同意后,可关断电源拆除连线,整理好放在实验 箱内,并将实验台清理干净、摆放整洁。 布线原则和故障检查时实验操作的重要问题。 (一).布线原则:应便于检查,排除故障和更换器件。 在数字电路实验中,有错误布线引起的故障,常占很大比例。布线错误不 仅会引起电路故障,严重时甚至会损坏器件,因此,注意布线的合理性和科学 性是十分必要的,正确的布线原则大致有以下几点: 1.接插集成电路时,先校准两排引脚,使之与实验底板上的插孔对应,轻 轻用力将电路插上,然后在确定引脚与插孔完全吻合后,再稍用力将其插紧, 以免集成电路的引脚弯曲,折断或者接触不良。 2.不允许将集成电路方向插反,一般 IC 的方向是缺口(或标记)朝左,引 脚序号从左下方的第一个引脚开始,按逆时钟方向依次递增至左上方的第一个 引脚。 3.导线应粗细适当,一般选取直径为 0.6~0.8mm 的单股导线,最好采用各 种色线以区别不同用途,如电源线用红色,地区用黑色笔。 4.布线应有秩序地进行,随意乱接容易造成漏接错接,较好的方法是接好 固定电平点,如电源线、地线、门电路闲置输入端、触发器异步置位复位端等, 其次,在按信号源的顺序从输入到输出依次布线。 5.连线应避免过长,避免从集成元件上方跨接,避免过多的重叠交错,以 利于布线、更换元器件以及故障检查和排除。 6.当实验电路的规模较大时,应注意集成元器件的合理布局,以便得到最 佳布线,布线时,顺便对单个集成元件进行功能测试。这是一种良好的习惯, 实际上这样做不会增加布线工作量

7.应当指出,布线和调试工作是不能截然分开的,往往需要交替进行,对 大型实验元器件很多的,可将总电路按其功能划分为若干相对独立的部分,逐 个布线、调试(分调),然后将各部分连接起来(联调)。 (二).故障检查 实验中,如果电路不能完成预定的逻辑功能时,就称电路有故障,产生 故障的原因大致可以归纳以下四个方面: 1.操作不当(如布线错误等) 2.设计不当(如电路出现险象等) 3.元器件使用不当或功能不正常 4.仪器(主要指数字电路实验箱)和集成元件本身出现故障。 因此,上述四点应作为检查故障的主要线索,以下介绍几种常见的故障检查方 1.查线法 由于在实验中大部分故障都是由于布线错误引起的,因此,在故障发生时 复查电路连线为排除故障的有效方法。应着重注意:有无漏线、错线,导线与 插孔接触是否可靠,集成电路是否插牢、集成电路是否插反等。 2.观察法 用万用表直接测量各集成块的V端是否加上电源电压;输入信号,时钟脉 冲等是否加到实验电路上,观察输出端有无反应。重复测试观察故障现象,然 后对某一故障状态,用万用表测试各输入/输出端的直流电平,从而判断出是否 是插座板、集成块引脚连接线等原因造成的故障。 3.信号注入法 在电路的每一级输入端加上特定信号,观察该级输出响应,从而确定该级 是否有故障,必要时可以切断周围连线,避免相互影响 4.信号寻迹法 在电路的输入端加上特定信号,按照信号流向逐线检查是否有响应和是否 正确,必要时可多次输入不同信号。 5.替换法 对于多输入端器件,如有多余端则可调换另一输入端试用。必要时可更换

7 7.应当指出,布线和调试工作是不能截然分开的,往往需要交替进行,对 大型实验元器件很多的,可将总电路按其功能划分为若干相对独立的部分,逐 个布线、调试(分调),然后将各部分连接起来(联调)。 (二).故障检查 实验中,如果电路不能完成预定的逻辑功能时,就称电路有故障,产生 故障的原因大致可以归纳以下四个方面: 1.操作不当(如布线错误等) 2.设计不当(如电路出现险象等) 3.元器件使用不当或功能不正常 4.仪器(主要指数字电路实验箱)和集成元件本身出现故障。 因此,上述四点应作为检查故障的主要线索,以下介绍几种常见的故障检查方 法: 1.查线法: 由于在实验中大部分故障都是由于布线错误引起的,因此,在故障发生时, 复查电路连线为排除故障的有效方法。应着重注意:有无漏线、错线,导线与 插孔接触是否可靠,集成电路是否插牢、集成电路是否插反等。 2.观察法: 用万用表直接测量各集成块的 Vcc端是否加上电源电压;输入信号,时钟脉 冲等是否加到实验电路上,观察输出端有无反应。重复测试观察故障现象,然 后对某一故障状态,用万用表测试各输入/输出端的直流电平,从而判断出是否 是插座板、集成块引脚连接线等原因造成的故障。 3.信号注入法 在电路的每一级输入端加上特定信号,观察该级输出响应,从而确定该级 是否有故障,必要时可以切断周围连线,避免相互影响。 4.信号寻迹法 在电路的输入端加上特定信号,按照信号流向逐线检查是否有响应和是否 正确,必要时可多次输入不同信号。 5.替换法 对于多输入端器件,如有多余端则可调换另一输入端试用。必要时可更换

器件,以检查器件功能不正常所引起的故障 6.动态逐线跟踪检查法 对于时序电路,可输入时钟信号按信号流向依次检査各级波形,直到找出 故障点为止。 7.断开反馈线检查法 对于含有反馈线的闭合电路,应该设法断开反馈线进行检查,或进行状态 预置后再进行检查。 以上检査故障的方法,是指在仪器工作正常的前提下进行的,如果实验时 电路功能测不出来,则应首先检查供电情况,若电源电压已加上,便可把有关 输出端直接接到0-1显示器上检查,若逻辑开关无输出,或单次CP无输出 则是开关接触不好或是内部电路坏了,一般就是集成器件坏了 需要强调指出,实验经验对于故障检查是大有帮助的,但只要充分预习 掌握基本理论和实验原理,就不难用逻辑思维的方法较好地判断和排除故障。 三、数字集成电路概述、特点及使用须知 (一).概述: 当今,数字电子电路几乎已完全集成化了。因此,充分掌握和正确使用数 字集成电路,用以构成数字逻辑系统,就成为数字电子技术的核心内容之 集成电路按集成度可分为小规模、中规模、大规模和超大规模等。小规模 集成电路(SSI)是在一块硅片上制成约1~10个门,通常为逻辑单元电路,如 逻辑门、触发器等。中规模集成电路(MSI)的集成度约为10~100门/片,通 常是逻辑功能电路,如译码器、数据选择器、计数器、寄存器等。大规模集成 电路(LSI)的集成度约为100门/片以上,超大规模(ⅥLSI)约为1000门/片 以上,通常是一个小的数字逻辑系统。现已制成规模更大的极大规模集成电路。 数字集成电路还可分为双极型电路和单极型电路两种。双极型电路中有代 表性的是TL电路:单极型电路中有代表性的是CMOS电路。国产TL集成电路 的标准系列为CT54/74系列或CT0000系列,其功能和外引线排列与国际54/74 系列相同。国产CMOS集成电路主要为CC(CH)4000系列,其功能和外引线排 列与国际CD4000系列相对应。高速CMOS系列中,74HC和74HCT系列与TTL74 系列相对应,74HC4000系列与CC4000系列相对应

8 器件,以检查器件功能不正常所引起的故障。 6.动态逐线跟踪检查法 对于时序电路,可输入时钟信号按信号流向依次检查各级波形,直到找出 故障点为止。 7.断开反馈线检查法 对于含有反馈线的闭合电路,应该设法断开反馈线进行检查,或进行状态 预置后再进行检查。 以上检查故障的方法,是指在仪器工作正常的前提下进行的,如果实验时 电路功能测不出来,则应首先检查供电情况,若电源电压已加上,便可把有关 输出端直接接到 0—1 显示器上检查,若逻辑开关无输出,或单次 CP 无输出, 则是开关接触不好或是内部电路坏了,一般就是集成器件坏了。 需要强调指出,实验经验对于故障检查是大有帮助的,但只要充分预习, 掌握基本理论和实验原理,就不难用逻辑思维的方法较好地判断和排除故障。 三、数字集成电路概述、特点及使用须知 (一).概述: 当今,数字电子电路几乎已完全集成化了。因此,充分掌握和正确使用数 字集成电路,用以构成数字逻辑系统,就成为数字电子技术的核心内容之一。 集成电路按集成度可分为小规模、中规模、大规模和超大规模等。小规模 集成电路(SSI)是在一块硅片上制成约 1~10 个门,通常为逻辑单元电路,如 逻辑门、触发器等。中规模集成电路(MSI)的集成度约为 10~100 门/片,通 常是逻辑功能电路,如译码器、数据选择器、计数器、寄存器等。大规模集成 电路(LSI)的集成度约为 100 门/片以上,超大规模(VLSI)约为 1000 门/片 以上,通常是一个小的数字逻辑系统。现已制成规模更大的极大规模集成电路。 数字集成电路还可分为双极型电路和单极型电路两种。双极型电路中有代 表性的是 TTL 电路;单极型电路中有代表性的是 CMOS 电路。国产 TTL 集成电路 的标准系列为 CT54/74 系列或 CT0000 系列,其功能和外引线排列与国际 54/74 系列相同。国产 CMOS 集成电路主要为 CC(CH)4000 系列,其功能和外引线排 列与国际 CD4000 系列相对应。高速 CMOS 系列中,74HC 和 74HCT 系列与 TTL74 系列相对应,74HC4000 系列与 CC4000 系列相对应

部分数字集成电路的逻辑表达式、外引线排列图列于附录中。逻辑表达式 或功能表描述了集成电路的功能以及输出与输入之间的逻辑关系。为了正确使 用集成电路,应该对它们进行认真研究,深入理解,充分掌握。还应对使能端 的功能和连接方法给以充分的注意。 必须正确了解集成电路参数的意义和数值,并按规定使用。特别是必须严 格遵守极限参数的限定,因为即使瞬间超出,也会使器件遭受损坏。 下面具体说明集成电路的特点和使用须知 (二).TTL器件的特点: 1.输入端一般有钳位二极管,减少了反射干扰的影响 2.输出电阻低,增强了带容性负载的能力 3.有较大的噪声容限; 采用+5V的电源供电 为了正常发挥器件的功能,应使器件在推荐的条件下工作,对CT0000系列 (74LS系列)器件,主要有:(1)电源电压应475~5.25V的范围内。(2)环 境温度在0C~70℃之间。(3)高电平输入电压Vm>2V,低电平输入电压Va<0.8V (4)输出电流应小于最大推荐值(查手册)。 (5)工作频率不能高,一般的门和触发器的最高工作频率约30MHZ左右。 TTL器件使用须知 1.电源电压应严格保持在5V±10%的范围内,过高易损坏器件,过低则不 能正常工作,实验中一般采用稳定性好、内阻小的直流稳压电源。使用时,应 特别注意电源与地线不能错接,否则会因过大电流而造成器件损坏。 2.多余输入端最好不要悬空,虽然悬空相当于高电平,并不能影响与门(与 非门)的逻辑功能,但悬空时易受干扰,为此,与门、与非门多余输入端可直 接接到Va上,或通过一个公用电阻(几千欧)连到Ⅴ上。若前级驱动能力强 则可将多余输入端与使用端并接,不用的或门、或非门输入端直接接地,与或 非门不用的与门输入端至少有一个要直接接地,带有扩展端的门电路,其扩展 端不允许直接接电源。 3.输出端不允许直接接电源或接地(但可以通过电阻与电源相连);不允 许直接并联使用(集电极开路门和三态门除外)

9 部分数字集成电路的逻辑表达式、外引线排列图列于附录中。逻辑表达式 或功能表描述了集成电路的功能以及输出与输入之间的逻辑关系。为了正确使 用集成电路,应该对它们进行认真研究,深入理解,充分掌握。还应对使能端 的功能和连接方法给以充分的注意。 必须正确了解集成电路参数的意义和数值,并按规定使用。特别是必须严 格遵守极限参数的限定,因为即使瞬间超出,也会使器件遭受损坏。 下面具体说明集成电路的特点和使用须知。 (二).TTL 器件的特点: 1.输入端一般有钳位二极管,减少了反射干扰的影响; 2.输出电阻低,增强了带容性负载的能力; 3.有较大的噪声容限; 4.采用+5V 的电源供电。 为了正常发挥器件的功能,应使器件在推荐的条件下工作,对 CT0000 系列 (74LS 系列)器件,主要有:(1)电源电压应 4.75~5.25V 的范围内。(2)环 境温度在 0 0 C~700 C 之间。(3)高电平输入电压 VIH >2V,低电平输入电压 VSL <0.8V。 (4)输出电流应小于最大推荐值(查手册)。 (5)工作频率不能高,一般的门和触发器的最高工作频率约 30MHZ 左右。 TTL 器件使用须知: 1.电源电压应严格保持在 5V±10%的范围内,过高易损坏器件,过低则不 能正常工作,实验中一般采用稳定性好、内阻小的直流稳压电源。使用时,应 特别注意电源与地线不能错接,否则会因过大电流而造成器件损坏。 2.多余输入端最好不要悬空,虽然悬空相当于高电平,并不能影响与门(与 非门)的逻辑功能,但悬空时易受干扰,为此,与门、与非门多余输入端可直 接接到 Vcc上,或通过一个公用电阻(几千欧)连到 Vcc上。若前级驱动能力强, 则可将多余输入端与使用端并接,不用的或门、或非门输入端直接接地,与或 非门不用的与门输入端至少有一个要直接接地,带有扩展端的门电路,其扩展 端不允许直接接电源。 3.输出端不允许直接接电源或接地(但可以通过电阻与电源相连);不允 许直接并联使用(集电极开路门和三态门除外)

4.应考虑电路的负载能力(即扇出系数)。要留有余地,以免影响电路的 正常工作,扇出系数可通过查阅器件手册或计算获得。 在高频工作时,应通过缩短引线、屏蔽干扰源等措施,抑制电流的尖峰 干扰 (三).CMOS数字集成电路的特点 1.静态功耗低:电源电压V=5V的中规模电路的静态功耗小于100μW,从 而有利于提髙集成度和封装密度,降低成本,减小电源功耗 2.电源电压范围宽:4000系列CMOS电路的电源电压范围为3~18V,从而 使选择电源的余地大,电源设计要求低 3.输入阻抗髙:正常工作的CMS集成电路,其输入端保护二极管处于反 偏状态,直流输入阻抗可大于100Mg,在工作频率较高时,应考虑输入电容的 影响。 4.扇出能力强:在低频工作时,一个输出端可驱动50个以上的CMOS器 件的输入端,这主要因为CMOS器件的输入电阻高的缘故。 5.抗干扰能力强:CMOS集成电路的电压噪声容限可达电源电压的45%,而 且高电平和低电平的噪声容限值基本相等。 6.逻辑摆幅大:空载时,输出高电平Ⅷ>Vm-0.05V,输出低电平V< Vs+0.05V。 oMOS集成电路还有较好的温度稳定性和较强的抗辐射能力。不足之处是 一般oMoS器件的工作速度比TIL集成电路低,功耗随工作频率的升高而显著增 大。 oMoS器件的输入端和Vs之间接有保护二极管,除了电平变换器等一些接口 电路外,输入端和正电源V之间也接有保护二极管,因此,在正常运转和焊接 oMoS器件时,一般不会因感应电荷而损坏器件。但是,在使用CMOS数字集成电 路时,输入信号的低电平不能低于(Vs-0.5V),除某些接口电路外,输入信号 的高电平不得高于(Vυ+0.5V),否则可能引起保护二极管导通,甚至损坏进而 可能使输入级损坏。 CMOS器件使用须知: 1.电源连接和选择:Ⅷ端接电源正极,s端接电源负极(地)。绝对不许

10 4.应考虑电路的负载能力(即扇出系数)。要留有余地,以免影响电路的 正常工作,扇出系数可通过查阅器件手册或计算获得。 5.在高频工作时,应通过缩短引线、屏蔽干扰源等措施,抑制电流的尖峰 干扰。 (三).CMOS 数字集成电路的特点 1.静态功耗低:电源电压 VDD=5V 的中规模电路的静态功耗小于 100μW,从 而有利于提高集成度和封装密度,降低成本,减小电源功耗。 2.电源电压范围宽:4000 系列 CMOS 电路的电源电压范围为 3~18V,从而 使选择电源的余地大,电源设计要求低。 3.输入阻抗高:正常工作的 CMOS 集成电路,其输入端保护二极管处于反 偏状态,直流输入阻抗可大于 100MΩ,在工作频率较高时,应考虑输入电容的 影响。 4. 扇出能力强:在低频工作时,一个输出端可驱动 50 个以上的 CMOS 器 件的输入端,这主要因为 CMOS 器件的输入电阻高的缘故。 5.抗干扰能力强:CMOS 集成电路的电压噪声容限可达电源电压的 45%,而 且高电平和低电平的噪声容限值基本相等。 6.逻辑摆幅大:空载时,输出高电平 VOH>VDD -0.05V,输出低电平 VOL< VSS+0.05V。 CMOS 集成电路还有较好的温度稳定性和较强的抗辐射能力。不足之处是, 一般 CMOS 器件的工作速度比 TTL 集成电路低,功耗随工作频率的升高而显著增 大。 CMOS 器件的输入端和 VSS 之间接有保护二极管,除了电平变换器等一些接口 电路外,输入端和正电源 VDD 之间也接有保护二极管,因此,在正常运转和焊接 CMOS 器件时,一般不会因感应电荷而损坏器件。但是,在使用 CMOS 数字集成电 路时,输入信号的低电平不能低于(VS S-0.5V),除某些接口电路外,输入信号 的高电平不得高于(VD D +0.5V),否则可能引起保护二极管导通,甚至损坏进而 可能使输入级损坏。 CMOS 器件使用须知: 1.电源连接和选择:VDD 端接电源正极,VSS 端接电源负极(地)。绝对不许

点击下载完整版文档(DOC)VIP每日下载上限内不扣除下载券和下载次数;
按次数下载不扣除下载券;
24小时内重复下载只扣除一次;
顺序:VIP每日次数-->可用次数-->下载券;
共60页,可试读20页,点击继续阅读 ↓↓
相关文档

关于我们|帮助中心|下载说明|相关软件|意见反馈|联系我们

Copyright © 2008-现在 cucdc.com 高等教育资讯网 版权所有